掌桥专利:专业的专利平台
掌桥专利
首页

可配置分布式互锁系统

文献发布时间:2023-06-19 09:52:39


可配置分布式互锁系统

优先权主张

本申请要求于2018年5月7日申请的美国专利申请No.62/667,865的优先权,其全部公开内容都通过引用合并于此。

技术领域

本文公开的主题涉及控制系统,其提供操作信号和安全互锁。更具体地说,该公开的主题涉及机床周围的机器安全性和人身安全性以及从工具到工具的安全性。

背景技术

控制系统目前的现状是使用基于继电器(relay)的安全互锁。最快的市售可得的互锁机制是“EtherCAT安全协议(以太网控制自动化技术)”系统。然而,即使采用“EtherCAT安全协议”实施,互锁评估也会增加输入/输出(I/O)周期时间的延迟,这导致同期实现无法用于高级机床应用。

目前,EtherCAT标准是基于以太网的现场总线系统。可从Beckhoff Automation(Beckhoff Automation GmbH&Co.KG;Huelshorstweg 20 33415 Verl Germany)取得一种类型的系统。该系统的协议在国际电工委员会(IEC)标准61158中标准化,并用于自动化技术中的硬和软实时计算要求。因此,目前,半导体制造环境中的工具通常具有内置或增置于工具上的EtherCAT或其他基于以太网的控制架构连接。然而,EtherCAT标准与相关技术的同期实现并未符合人身安全性以及适当工具操作的所有要求。

本节中所述的信息是为了向本领域技术人员提供以下公开的主题,并且不应被视为是被承认的现有技术。

附图说明

图1A显示了根据各种示例性实施方案的具有分布式互锁(ITLK)系统构件的控制自动化技术(CAT)控制系统的高阶概述的示例;

图1B显示了根据各种示例性实施方案的具有分布式互锁(ITLK)系统构件的CAT控制系统的另一高阶概述的示例;

图2显示了根据各种示例性实施方案的节点包概述的示例;

图3显示了根据各种示例性实施方案的分布式互锁系统的高阶概述的示例;

图4A显示了根据各种示例性实施方案的系统互锁的示例;

图4B显示了根据各种示例性实施方案的系统互锁的示例,其参考与输出期望值相比的输出实际值反馈;

图4C显示了根据各种示例性实施方案的另一系统互锁的示例;

图5显示了根据各种示例性实施方案的确定性延迟时间及同步输入与输出的示例;

图6A显示了根据各种示例性实施方案的抑制性互锁的示例;

图6B显示了根据各种示例性实施方案的预防性互锁的示例;

图7显示了根据各种示例性实施方案的分布式互锁系统的双冗余的示例;

图8显示了根据各种示例性实施方案的分布式互锁系统的固件与互锁方程分开的示例;

图9显示了根据各种示例性实施方案的用于多个主装置之间进行菊链式通信的系统架构示例;

图10显示了气体输送盒的示例性实施方案的三维视图,其具有安装其中的若干气体输送通道,并使用公开的主题的多种实施方案;

图11A显示了气体输送盒的示例性实施方案的三维视图,其具有连接至气体输送盒内各种气体输送构件的外部EtherCAT节点连接;

图11B显示了图11A的气体输送盒的示例性实施方案的另一个三维视图,其具有气体输送盒内的各种气体输送构件的另一视图;

图11C显示了根据图11A的用于系统通信的EtherCAT连接器的一部分的示例性实施方案;

图11D显示了根据图11A用于系统通信的EtherCAT连接器的另一部分的示例性实施方案;以及

图12显示了呈计算机系统的示例形式的机器简化框图,其中可执行使机器进行本文所提的任何一或更多种方法以及操作的指令集。

具体实施方式

现在将参考各种附图中所示的一些概括的和具体的实施方案,以详细描述所公开的主题。在下文描述中,阐述了许多具体细节以对公开的主题提供透彻的了解。然而,对于本领域技术人员显而易见的是,可在没有该些具体细节中的一些或全部的情况下实施该公开的主题。在其他情况下,不再详细描述公知的工艺步骤或结构,以免使所公开的主题不清晰。

本文使用的缩写和首字母缩略词的特定术语包括:

ASIC:专用集成电路;

CAT:控制自动化技术:

DI:数字输入;

DO:用于驱动的预期数字输出;

EtherCAT:以太网控制自动化技术;

FPGA:现场可程序化门阵列;

ITLK:互锁:

EtherCAT:硬件平台的具体示例性实施方案,其可运作EtherCAT协议软件和/或固件,并遵循在IEEE 802标准下开发的EtherCAT标准;

RS-485:公知的串行通信协议(也称为电信工业协会标准TIA-485和电子工业联盟标准EIA-485)是定义用于串行通信系统的驱动器和接收器电特性的标准,其显示于此主要是为了便于理解。在阅读并理解本文提供的公开内容后,本领域技术人员将认识到也可以采用其他类型的通信协议;

SBC:单板计算机;

SO:输出的期望值:

SI:输出的实际值(SO的回读);以及

类型5(Type 5):一种接口转换卡类型的示例。然而,本领域技术人员在阅读并理解本文提供的公开内容后将认识到也可轻易地替换其他类型的适配卡。

所公开的主题支持根据TEC 61508标准进行安全认证的分布式互锁。本文所公开的分布式互锁系统使得整个系统中的任何DI和任何DO能用于互锁计算。举例而言,来自模块底部的超温OK DI可用于对于不同节点互锁模块顶部上的加热器电源启用。这是在无需于节点之间发送DIO的情况下完成。此外,预防性互锁可评估互锁条件,并在指令执行前防止不安全状况。互锁例如是固件可配置的,其使得能更新任何或全部方程而无需重新设计例如印刷电路板(PCB)和/或电缆,也不需要硬件重新认证。

在多种示例性实施方案中,本文公开的分布式互锁系统通过现场可程序化门阵列(FPGA)或专用集成电路(ASIC)或其他控制器或处理器类型或本领域已知的其他部件以基本上实时地评估互锁方程,该系统配置成主从配置(或多个互连主机,多个主机中的至少一些主机具有其自己的从属装置组)且以短至EtherCAT主干的一个循环时间进行。因此,分布式互锁系统是主动的,而不是被动的,且系统运行而不会增加对I/O执行周期的延迟。

因此,本文公开的分布式互锁系统允许全系统分布式互锁,其被主动且基本上实时地进行评估。在多种实施方案中,互锁方程可以是固件可配置的,从而免去传统的硬件变更和相关的安全重新认证。因此,所公开的分布式互锁系统能够显著缩短开发周期时间并降低成本。

本文所包含的公开的主题一般是关于半导体制造环境(晶片厂(fab))中的“工具”的操作。这些工具可包括各种类型的沉积(包括等离子体式工具,例如ALD(原子层沉积)、CVD(化学气相沉积)、PECVD(等离子体增强CVD)等)以及蚀刻工具(例如,反应性离子蚀刻(RIE)工具)、以及各种类型的热炉(例如,快速热退火和氧化)、离子注入、以及见于各种晶片厂中且为本领域技术人员所公知的各种其他工艺与度量工具。此外,本文公开的各种实施方案有利于某些工具的部件,例如向工具供应各种气体(包括有毒和/或腐蚀性气体)的气体输送盒。然而,所公开的主题不限于半导体环境,且可用于许多机床环境,例如机器人组件、制造和加工环境。

因此,由于对人身安全或机器安全以及可操作性可能有威胁,因此晶片厂中的所有工具都具有一或更多种安全特征和/或要求。例如,将互锁系统及各种类型的传感器内置于工具中或者可增加到各种工具中。在一示例中,本领域技术人员知道,在射频(RF)产生器上进行维护时,应该锁定等离子体式工具上的射频(RF)产生器。在其他示例中,技术人员认识到,如果组合某些类型的两种或更多种气体可能会燃烧或有其他类型的危险相互作用。如上所述,EtherCAT标准和相关技术的同时实现无法符合人身安全及适当工具操作的所有要求。

例如,分布式互锁系统可参考查询表以确定在单个工具或工具至工具(tool-to-tool)中是否有可能对人身安全或机器安全及操作特别造成危害的任何特定序列组合。在一具体示例中,本领域技术人员知道硅烷(SiH

如本文所公开的,该公开主题可配置成在工具上的所有输入/输出(I/O)端口上提供互锁。另外,如上所述,该公开主题可以是预防性的-以阻止某些交互作用和操作发生,而不是仅在操作已发生后才关闭工具或I/O端口。因此,该公开主题可将软件信号与硬件信号互锁。

为了易于理解所公开的主题,将参考半导体制造环境提供各种示例。然而,在阅读并理解本文提供的公开内容后,本领域技术人员将认识到,本公开内容的分布式互锁系统可用于使用各种类型工具或其他机器的各种环境中。

现在参考图1A,其显示了根据各种示例性实施方案的具有分布式互锁(ITLK)系统部件的控制自动化技术(CAT)控制系统100的高阶概述示例。图1A示出了包括有主装置101、若干从属节点105A至105C(其可包含例如工具或工具的一部分,如气体输送盒,或工具内的各个部件)及互锁主机111。图1A为了说明目的示出三个从属节点。然而,从属节点的数量可能更少(例如,少至一个)或更多。

在示例性实施方案中,该主装置101可以是单板计算机(SBC)。主装置101可直接接收来自一或更多从属节点105A至105C的输入,并向其提供输出。在多种实施方案中,一或更多的从属节点105A至105C可提供输入至序列发生器103,或从序列发生器103接收输出。序列发生器103示为包含有主装置101的一部分,但在其他实施方案中,序列发生器103可以是独立装置(图未示)。在实施方案中,从属节点105A至105C中的一或更多个可提供输入直接至主装置和/或序列发生器103,或从其接收输出。

在多种实施方案中,序列发生器103可以是固件式或软件式部件。该序列发生器可在主装置上或独立装置中“运作”。在实施方案中,序列发生器可以是在例如一或更多现场可程序化门阵列(FPGA)中或在一或更多专用集成电路(ASIC)中实现的硬件式装置,或是本领域中已知的其他控制器或处理器类型部件。

序列发生器103可用于在例如工具内或遍及各种工具中(例如,工具间交互作用中)分析事件序列。举例来说,该些交互作用可包括(例如,参考时间或参考另一事件)追踪输入和何时开始该些输入,以及(例如,参考时间或参考另一个事件)追踪何时发生输出响应。

从属节点105A至105C中的每一个被显示为包括有接口转换卡107和互锁(ITLK)从属装置109。如图1A所示,接口转换卡107被显示为本领域中已知的类型5装置。然而,本领域技术人员在阅读并理解本文提供的公开内容后将认识到,也可轻易替换其他类型的接口卡。ITLK从属装置109接收输入信号DI,并提供实际输出信号SI。实际输出信号SI是输出信号的实际值,其为输出信号SO的回读。

如图所示,每一从属节点105A至105C都耦合至互锁主机111。在多种实施方案中,互锁主机111可根据RS-485或相关标准来配置。然而,并不要求互锁主机111运作RS-485或相关标准。

总体上,具有分布式互锁(ITLK)系统部件的CAT控制系统100提供具有DI/DO互锁的全系统接口,如下文更详细描述的。

图1B显示了根据各种示例性实施方案具有分布式互锁(ITLK)系统构件的CAT控制系统130的另一高阶概述的示例。在该实施方案中,序列发生器被布置成用于拦截来自从属节点105A至105C的所有输入信号以及到从属节点105A至105C的所有输出信号。此外,图1B示出了在从属节点105A内有两个ITLK从属装置。输出ITLK从属装置113A及输入ITLK从属装置113B。如图1B所示,输出ITLK从属装置113A提供实际输出信号SI。如上参考ITLK从属装置109所述,实际输出信号SI是输出信号的实际值,其是输出信号SO的回读。另外,如图所示,ITLK从属装置113B接收输入信号DI。

在多种实施方案中,图1A和1B的系统提供用于集中互锁计算的互锁主模块和互锁方程求解器。所有互锁方程可包含在例如主装置101、互锁主机111或两者的组合中,但本发明不仅限于这些装置。在一实施方案中,每一ITLK从属装置109、113A、113B是远程I/O装置。在具体的示例性实施方案中,ITLK从属装置109、113A、113B可管理64个DI和64个DO或更多/装置,可与ITLK从属装置109、113A、113B(各自具有可扩充的I/O)中的一或更多其他装置进行菊链式连接,并且可包含相同硬件和固件以实现可互换性,且每个输出可维持500mA或更高的电流。

可使用图1A的具有分布式互锁(ITLK)系统构件的CAT控制系统100和图1B的CAT控制系统130的各种组合。高阶概述仅提供两种可能的系统架构概述。CAT控制系统的更多细节描述于下。

图2显示了根据各种示例性实施方案的节点包(node package)概述200(例如,节点模块)的示例。图2示出了包括有连接器板201,其具有接口转换卡203、第一DIO ITLK板205及第二DIO ITLK板207。接口转换卡203可与图1A和1B的ITLK从属装置105A至105C相同或相似。第一DIO ITLK板205和第二DIO ITLK板207中的每一者可类似于图1A及1B的ITLK从属装置109。

如图2所描绘的具体示例性实施方案,接口转换卡203可以是本领域已知的类型5(Type 5)装置。然而,本领域技术人员在阅读并理解本文提供的公开内容后将得知,也可轻易替换其他类型的接口卡。通过例如序列周边接口(SPI)通信信道,该接口转换卡203耦合至第一DIO ITLK板205,第一DIO ITLK板205进而耦合至第二DIO ITLK板207。在该实施方案中,接口转换卡203亦耦合至EtherCAT连接,且呈现为接收多达32个模拟输入(AI)并发送多达32个模拟输出(AO)。然而,AI和AO通道数量仅是说明性的,并且不限于32个AI和32个AO。

如图2所描绘的具体示例性实施方案,第一DIO ITLK板205和第二DIO ITLK板207中的每一个可具有每板多达64个数字输入(DI)和64个数字输出(DO),其可通过菊链进一步扩充。然而,DI和DO通道数量仅是说明性的,并且不限于64个DI和64个DO。

另外,如图所示,第一DIO ITLK板205和第二DIO ITLK板207中的每一个通过例如RS-485接口耦合至例如图1A或1B的互锁主机。虽然未明确示出,但亦可包含额外的DIOITLK板。第一DIO ITLK板和第二DIO ITLK板207中的每一个可维持每一输出为500mA或更多电流。在具体示例性实施方案中,第一DIO ITLK板205和第二DIO ITLK板207中的每一个可维持7A或更大负载,且可配置成防止短路接地的输入保护和输出保护,并进一步保护至-15V以及至+24V。这些电压和电流额定值仅供说明,并且不限于所示数值。

基于本文提供的描述,且如下文更详细的描述,该公开的主题的分布式互锁系统提供至少以下交互作用和安全特征:

(1)预防性系统互锁,其:

·不被节点的本地DI/DO所限制;以及

·能够互锁驱动输出的意图;

(2)灵活性-系统中的任何DO都可与任何DI或DO互锁;

(3)可配置性-互锁方程可轻易且方便地更改:

(4)可预测性-系统具有确定的互锁响应时间:

(5)安全性-系统的安全完整性等级为3(符合SIL3),其由IEC 61508标准所定义且为双冗余系统;以及

(6)可见性-系统可容易地与例如具有用于启动操作和故障排除的诊断公用程序的图形用户接口(GUI)整合。下文更加详细描述代表性GUI。

在具体示例性实施方案中,GUI可显示各种项目,如系统中的每一节点操作状态;系统中每一部件的DI和DO状态;期望互锁输出与实际互锁输出的关系;以及系统中各种部件的AI和AO状态。示例性的GUI可用于切换DO并设定AO;绘制并分析,例如,AIO、DIO和SIO波形;以及上传和下载EtherCAT上的对象状态的所有或选定部分。

示例性GUI还可提供“EtherCAT视图”,其示出了例如SIO及SIO用户接口。可将EtherCAT视图配置成用于在启动事件(“调出(bring up)”)期间或出现错误时提供例如诊断和故障排除。EtherCAT视图也可配置成报告系统中每个DIO和SIO的状态,DO可被命令为“开”或“关”,且可将SO SI不匹配凸出显示和/或颜色编码,以指示发生哪种类型的不匹配。

此外,可将EtherCAT视图配置成提供绘图工具,其可追踪、绘制及保存系统中的任何信号波形。该绘图工具被用于分析序列,以例如追踪输入及何时开始输入,并追踪何时发生相关的输出响应。各种类型的GUI和EtherCAT视图各自使用本领域技术人员已知且理解的工具。

该公开的主题的分布式互锁系统还提供特定特征和功能,如上所述且于下更加详细叙述的,在某些具体示例性实施方案中,其包括至少以下所述的:

(1)双冗余系统,其可利用预先认证的硬件;

(2)串行通信系统,如通信协议中主机(或多个主机)与一或更多从属机之间的RS-485;

(3)预防性互锁,其也能够达到互锁目的,以驱动输出;

(4)确定性互锁延迟时间小于例如1毫秒(msec),这独立于若干互锁方程;

(5)同步输入取样和输出驱动;以及

(6)高达5000个或以上的互锁指令容量。

现参考图3,根据各种示例性实施方案,其示出了分布式互锁系统300的高阶概述的示例。分布式互锁系统300的高阶概述仅是说明性示例,以提供广义操作理论,并示出为包括有母板301和多个子板303A至303C,其以主/从架构布置。虽然仅示出三个子板,但分布式互锁系统300不限于三个。可使用更多或更少子板。

主装置(这里指母板301)包括集中式互锁方程求解器。所有互锁方程可存储于例如母板301内的非易失性存储器装置(例如,闪存装置)上。该母板301可与图1A和1B的主装置101相同或相似。

每一从属装置(这里指子板303A至303C)可彼此相似或相同。另外,每一子板303A至303C可与图1A及1B的ITLK从属装置109相同或相似。在实施方案中,子板303A至303C中的各个子板可通过由例如连接器板提供的唯一标识(ID)进行识别,以对子板303A至303C中的特定一子板进行唯一寻址。作为从属装置的每一子板303A至303C可同步地对所接收的输入进行取样,并将所接收的输入发送至作为主装置的母板301。此外,每一子板303A至303C可基于从母板301接收到的结果或方向同步驱动输出。

图4A显示了根据各种示例性实施方案的系统互锁400的示例。图4A示出了包括有主装置401和若干从属装置403A至403C。每一主装置401和从属装置403A至403C可与本文标识的其他主装置和从属装置相同或相似,例如图1A和1B的主装置101和ITLK从属节点105A至105C。在系统互锁400的示例中,系统中的任何DO可经由DO与DI的任何组合进行互锁。

例如,继续参考图4A,由主装置401提供逻辑示例(E0 SO 32=(E0 DI 0)&(E1 DI14)&(E2 DI 66)),该主装置401发送并接收来自三个从属装置403A至403C(在图4A中还显示为E0、E1和E2)的信号。在多种实施方案中,三个从属装置403A至403C全都链接(例如,通过每个工具上的一或更多现场连接板)并由本文所公开的分布式互锁系统所控制。

图4B显示了根据各种示例性实施方案的系统互锁430的示例,其参考与输出期望值相比的输出实际值反馈。在图4B中,来自主装置的预期数字输出传送至工具上的板,以驱动特定操作(例如,DO是传送至工具的输入)。安全输出(输出处的期望值)被监视并被反馈为输出的实际值(即,SO的回读)。若两个值不相同或不在例如给定操作或工具的某预定公差度内,则本文所公开的分布式互锁系统可根据给定操作和/或工具的需求回复至安全模式。该分布式互锁系统可考虑并作用于多个输入(例如,数千或更多),例如来自其他软件和/或硬件的DO信号,以确保所有软件和硬件提供安全操作。

图4C显示了根据各种示例性实施方案的系统互锁450的另一示例。图4C显示为包括主装置401和三个从属装置403A至403B。在该逻辑示例中,(E0 SO 32=(E0 DI 0)&(E1DI 14)&(E2 DI 66))由主装置401提供,主装置401发送并接收来自三个从属装置403A至403C的信号。在另一逻辑示例中,El SO 44=(E0 SO 32)如本领域技术人员所理解的。

图5显示了用于主从架构500的根据各种示例性实施方案的确定性延迟时间(deterministic latency)和同步输入与输出的示例,以及示出了E0、E1和E2中的每一个的SIOP测量起点与终点的相关图形。在具体的示例性实施方案中,无论所涉及的互锁方程和/或命令和/或操作的数量,确定性响应时间可以是每1毫秒(msec)或更短进行更新。因此,在该实施方案中,响应时间(例如,需要某事物的时间至作用在某事物上的时间)小于1毫秒。在半导体晶片厂的情况下,同步输出可在晶片厂中和/或在子制造(或生产线)内驱动所有节点,以同时发出指令。驱动所有节点(例如,盒到盒)的同步输出可具有例如约100纳秒(nsec)的最大输出-输出抖动(jitter)。

根据多种示例性实施方案,图6A显示了抑制性互锁的示例,而图6B显示了预防性互锁的示例。如本文所述,所公开的分布式互锁系统具有抑制性互锁和预防性互锁,而现有技术的系统并无预防性互锁。例如,如图6A所示,抑制性系统允许两个气阀在关闭之前几乎同时开启520微秒(μsec)。然而,图6B的预防性系统(其为本文公开的分布式互锁系统的一部分),即使由DO1和DO2命令分别开启两个阀,仍防止任一气阀打开。分布式互锁系统的预防性互锁部分可并入例如各种类型的查询表,如上所述,以在即使有发送指令开启信号时仍可防止阀开启。

因此,如图6A和6B所示,互锁系统有能力互锁住驱动输出的意图,其中输出是基于输入的状态和驱动输出的意图。该系统因此可基于设备安全和/或人身安全考虑而防止系统驱动不能同时被启动的输出(例如,如上提供的结合氧气与硅烷的示例,其可能会点燃或爆炸)。

继续图6A的示例,假设D01和D02为期望输入信号。进一步假设S01和S02是继电器互锁后的输出信号。当D01和D02信号同时被设为“1”时,互锁输出信号S01和S02因继电器被关闭的继电时间而呈现520μsec的毛刺(glitch)。

图7显示了根据各种示例性实施方案的分布式互锁系统的双冗余700示例。分布式互锁系统可配置成至少具有双冗余,例如,每一板上(在主装置和所有从属装置上)有两个FPGA以执行相同任务。FPGA仅是说明性的,并可由本文讨论的一或更多其他装置(例如一或更多ASIC)替代或补充。

如图7所示,互锁主机701具有第一冗余模块A;以及第二冗余模块B。第一LTLK从属装置703A和第二ITLK从属装置703B各自显示为包括有第一冗余模块A;以及第二冗余模块B(分别为元件符号705A、705B、705C和705D)。如上所述,每一模块可包括各种硬件装置(例如,FPGA、ASIC、处理器等)、固件装置(例如,可编程为执行某些代码的电子装置)或控制某些实体装置以及相关输入信号和输出信号的软件式装置。可编程性考虑因素于下文中更加详细讨论的。

在一实施方案中,每一板上的每个冗余装置可编程为执行相同任务。例如,若第一冗余模块A发生故障,则第二冗余模块B自动接管第一冗余模块的任务。

在另一实施方案中,第一冗余模块A驱动输出信号和输出装置。另一个冗余模块回读输出信号,并将其与自有的结果进行比较,如果在预定限制内存在不匹配,则关闭输出信号。在一些示例中,预定限制可以是0。

在该实施方案中,可在互锁主机701上进行所有管理和控制方程(加上任何查询表)。在该示例中,第一ITLK从属装置703A(部分“A”)执行实际的“驱动操作”,例如切换阀,而第一ITLK从属装置703B(部分“B”)执行信号回读并明显标示出(在预定限制内的)任何差异以能够将从属装置和相关工具放回如上所述的安全状态。因此,在该实施方案中,分布式互锁系统包含“A”与“B”之间的“通信”,以验证指令和其他I/O信号。

关于例如FPGA的可编程性,具体示例性实施方案中的一些考虑因素包括:

(1)从FPGA固件(FW)中分离互锁方程

a.FPGA FW

i.FPGA FW可配置成操作系统的各种功能:以及

ii.FPGA FW可预先认证,且更改方程时不一定需要改变。因此,无须重新认证。

b.互锁方程:

i.互锁方程对于系统的使用案例是特定的;

ii.互锁方程可更改,且无需重做FPGA FW认证:以及

iii.仍使用工具安全重新认证。

(2)可编程性可设计用于IEC 61508认证。

图8显示了根据各种示例性实施方案的分布式互锁系统的固件和互锁方程分开的示例。图8显示为包括互锁主单元801。该互锁主单元801可与本文所述的主装置中的其他主装置相同或相似。互锁主单元801包括第一FPGA 803A和第二FPGA 803B。第一FPGA 803A和第二FPGA 803B各自分别耦合至非易失性存储器装置807A、807B和固件装置805A、805B。

非易失性存储器装置807A、807B可以是本文所描述且本领域已知的任何非易失性存储器装置,例如,闪存装置、导电桥接式随机存取存储器(CBRAM)、可编程金属化单元(PMC)、相变化存储器(PCM)和本领域已知的其他非易失性存储器装置类型。配置成用于被编程为固件装置的装置在本领域中是已知的(例如,配置成接收固件和固件更新的装置配置半导体芯片或集成电路)。

在具体的示例性实施方案中,FW构件被储存在固件装置805A、805B上,且可通过其自有的报头进行编程。分别针对FPGA 803A、803B,固件装置805A、805B可包括具有两个文件的单个固件装置、或是各自具有一个文件的两个分开的固件装置。固件装置805A、805B中的每一个上的FW文件可以是相同的,但存储于不同地址中。互锁方程储存于非易失性存储器装置807A、807B中。如SPI通信信道的接口可将非易失性存储器装置807A、807B耦合至相应的FPGA 803A、803B。无论具体配置方式如何,非易失性存储器装置807A、807B的内容不影响存储于相应固件装置805A、805B中的功能FW。

在如图8所示的互锁方程与固件分开的示例中,可通过终端用户来改变受控或可控工具(例如,半导体处理工具,诸如ALD、CVD、PECVD、PVD等,或位于其上或其中的部件)的应用特定码(例如,多用途代码)。因此,该可变性是可编程的方面。然而,功能代码(例如,如上所述的A驱动和B回读)通常不能由终端用户配置,以防止意外结果;非预期的结果可能潜在地消除如本文所述的分布式互锁系统的一些或所有安全功能。

图9显示了根据多种示例性实施方案用于多个主装置之间菊链式通信的系统架构900的示例。系统架构900可与本文描述的任何其他系统一起使用。

图9示出了包括有若干主装置903A、903B、903C(主装置也在图上标示为M0、Ml和M2)。三个主装置是基于说明目的呈现的,也可使用更多或更少的主装置。每一主装置903A、903B、903C分别包括主-从通信部分901A、901B、901C和主-主通信部分905A、905B、905C。每个通信部分可基于RS-485通信协议或本领域已知的其他有线或无线协议和技术。例如,可在主装置之间使用以太网通信。在具体的示例性实施方案中,主装置可在线拓扑(linetopology)中以100Mbps(或更高)全双工通信。此外,可能有中间网络装置或网络配置位于一或更多主装置903A、903B、903C之间。如同本文描述的任何主装置或系统,系统可配置成计算任何ITLK装置和潜在延迟,例如因工艺容限或噪声和/或跳动信号引起的延迟。这种延迟可避免系统中的误动作(false trips)或误警报。

为了说明的目的,主装置903A被显示为与多个从属装置907A电通信(从属装置在图上也标示为E0、El、E2和E3)。每个从属装置907A可以是位于例如单个工具上的ITLK从属装置,或者可以是物理上分布于若干工具(其包括位于一或更多生产线中的所有工具)上的从属装置。基于说明目的示出了四个从属装置,但可使用更多或更少的从属装置。此外,主装置903A、903B、903C中的每一个可耦合至多个从属装置(未图示),以免使所公开的主题不清晰。

用于示例性实施方案中的主装置903A、903B、903C中的各不相同者之间的共享IO操作:

(1)任何节点上的任何DI、DO都可与任何主装置共享(例如,任何主装置上的任何DIO都可与任何其他主装置上的任何其他DIO互锁);

(2)可在各种类型的通信上进行超过l Gbps以太网的共享IO(XIO)传输,例如通过精简的介质独立接口(RMII)、千兆位级介质独立接口(GMII)、精简的千兆位级介质独立接口(RGMII)、串行千兆位级介质独立接口(SGMII)、四路串行千兆位级介质独立接口(QSGMII)、10-十亿位元级介质独立接口(XGMII)和其他;都处于全双工;

(3)固件可在每一主装置上具有共享的反射存储器空间;

a.每个主装置皆为XIO分配存储器空间;

b.每个互锁计算周期更新XIO存储器数据;以及

c.完成所有主数据交换后,所有XIO存储器可含有相同内容;

(4)每个主装置“知道”其在链中的位置,并且可读取物理层(PHY)的链路状态;

(5)每个主装置可具有唯一ITLK表,其例如描述哪个以及多少个从属装置将耦合至主装置,并描述每个主装置本地的SO逻辑(例如,可使用来自任何从属装置的DIO);以及

(6)每个主装置基于TTLK表知道何时添加或删除-没有设定的连接顺序。

在多种示例性实施方案中,详细的反射存储器传输可包括:

(1)反射存储器更新,其每个互锁计算周期启动一次;

(2)可在接收到最新从属数据后由例如链中的第一主装置和最终主装置启动更新;以及

(3)数据沿链向下转发,直到已收到所有数据。

如同本文所述的其他系统实施方案,每个互锁主机可运作功能固件(或其硬件等同物)。每个互锁主机还运作应用固件(互锁逻辑固件)。功能固件是指运作系统的代码,诸如,例如串行通信、系统监控和其他功能。应用固件是指编程至端用户所编写的单元中的互锁逻辑。本文也在本发明的其他部分中描述固件的示例。

在实施方案中,应用固件可由软件解析器自动产生。软件解析器将人可读的互锁逻辑表(例如,从电子表格)翻译成多个十六进制数文件;系统中使用的每个主机的十六进制数文件如电子表格中所宣告的。这些产生的文件彼此是唯一的,并将介质访问控制(MAC)地址指派给主装置,其于主装置上进行编程。产生的文件也宣告系统中有哪些其他MAC。

利用该MAC,每个主装置确切地知道其应接收信息的其他主装置。在具体的示例性实施方案中,与未在互锁表中宣告的主装置的任何通信可被视为不安全。因此,如果主装置“A”检测到其连接至系统上不允许的主装置“B”,则主装置“A”将来自主装置“B”的输入视为不安全。此类型的布置也可应用于将两个相同的主装置连接在一起。

在多种实施方案中,主装置之间引起的任何冲突可被视为不安全状况,且该些主装置之间的所有通信也可随后被视为不安全。然后,主装置可作出丢弃不安全数据的反应,以始终确保系统安全地故障。

与气体输送盒一起使用的互锁系统

在该示例性实施方案中,描述了一种互锁系统的构件,其可与各种类型的气体输送盒使用。气体输送盒(或气体面板)被用于半导体制造设备中,以将多种气体输送至例如真空处理室以在衬底上进行沉积或蚀刻膜。这些气体输送盒包括多个气体质量流量控制器(MFC),每一气体类型有一或更多个MFC。MFC以及MFC的相关部件,例如阀、调节器、过滤器和类似类型的气体输送部件,通常安装于“气棒(gas stick)”上并耦合在一起。通常,许多气棒(例如,三个至三十或更多)被用于向例如半导体处理工具的处理室提供气体。不同的气体、流量和压力可用于处理工具上的每次运作。

由于大多数(如果不是全部的话)气体输送盒输送有害或有毒的气体,因此与气体输送盒一起使用的互锁系统必须防止或最小化对人身安全或机器安全和可操作性的可能威胁。在多种实施方案中,互锁系统可与各种类型的安全系统连接,例如上文所讨论的EtherCAT控制器。

在目前用于半导体和相关产业中的同期系统中,现有技术是一种在多引脚连接器(如一或更多“D型”连接器)上具有多个模拟线连接的气体输送盒。该D型连接器具有许多连接至中央输入/输出控制器(IOC)的缆线,以发送例如模拟信号至诸如MFC装置之类的气体部件或从诸如MFC装置之类的气体部件接收例如模拟信号,并向MFC提供电力。此外,额外的模拟信号被发送至以及接收自额外的气流部件,例如压力传感器和开关,数字控制信号则被发送至以及接收自气动螺线管,而IOC信号与系统控制器通信。目前还通过D型连接器而对该些和其他部件提供电力。

如上所述,需要互锁以确保最小化或防止可能给机器和/或人身安全造成危险条件的阀开口组合。这些互锁通常是基于硬件,例如使用跨接线或专门设计的互锁印刷电路板组件。

在一示例中,真空式半导体制造设备使用输送至处理室的多种气体,以将膜涂布至衬底上和/或自衬底移除膜。气流由MFC控制。螺管式气动阀被用于开启或关闭通向室的各种气体路径,以控制处理室内的气体混合物。本节中公开的主题是气体输送盒或气体输送面板,其具有通过例如EtherCAT接口与系统控制器通信的整合式控制器(integralcontroller)。

为了互锁,气体输送盒整合式EtherCAT控制器可以是互锁主机的从属机,该互锁主机必须被连接,以使该气体盒运作。互锁主机可在连接至模块或系统或1:1测试互锁主机时执行互锁功能。

测试互锁主机允许测试气体输送盒。当首次建立气体输送盒时,必须对其进行测试。1:1测试互锁主机一直都是触发与DO相对应的SO,而无任何互锁。例如,在工具上,阀“A”可以是硅烷,而阀“B”可以是O

气体输送盒或气体面板可通过单个EtherCAT接口来控制。单个EtherCAT接口大幅简化了气体输送盒的设置和测试。在多种实施方案中,可通过一个EtherCAT连接来配置并测试气体输送盒,从而减少所需的测试设备和现有技术中有问题的接口盒的缆线布置和分离。因此,所公开的主题使得能轻易地整合至任何气体输送盒中,例如通常耦合至半导体处理工具,并可预先配置并测试,使得所公开的主题为“即插即用”。该即插即用的配置使得能简单整合于终端用户站点,从而改善在途合并(MIT)工艺。如本技术领域中所公知的,MIT是一种工艺,其中各个子系统被分开运送至终端用户的站点,且接着进行整合以在终端用户站点形成完整的系统。该公开的主题还进一步改善按订单整合(ITO)工艺。

如本文详细叙述的,EtherCAT(或类似)控制器是“硬结构”的一部分(各种气流部件,例如气体输送盒的MFC、阀等),其意指一旦配置气体流动路径和气流部件,就可快速配置EtherCAT控制器,并可通过EtherCAT接口测试整个气体输送盒。EtherCAT控制器与其他气体输送盒构件可预先存放在整合位置,且无需知道哪个气体输送盒将需要进行整合。使气体输送盒预先设置在所述整合位置处可缩短气体输送盒的前置时间,从例如约8至10周缩短至约1至2周。大幅缩短前置时间相应地节省了成本,同时为半导体制造和相关设备的终端用户提供快速解决方案。

现在参考图10,显示了气体输送盒1005的示例性实施方案的三维视图1000,其具有安装在其中的若干气体输送通道,并使用公开的主题的各种实施方案。该气体输送盒1005可配置成容纳若干气体输送通道,以将气体供应至例如用于半导体和相关产业中的各种类型的等离子体式蚀刻和沉积设备的一或更多设备气体入口供应管线。例如,在多种实施方案中,气体输送盒1005可配置有少于10个通道、10至20个通道、或多于20个的通道,其中每个通道可耦合至各种气体供给源,例如各种前体气体。该气体输送盒1005包括背板1001,如本技术领域中已知的,可将公开的主题的各种部件固定至背板1001(例如,螺纹连接或以其他方式物理或化学连接或粘接)。气体输送盒1005被显示为包括多个气体成分通道1003(例如,“气棒”),其设置有气体输送部件(例如,阀、质量流量控制器、压力传感器、压力调节器等)。

气体输送盒1005的背板1001也被显示为包括有许多其他部件。背板1001、外壳、印刷电路板、电线、气动组(pneumatic bank)和本文所讨论的其他部件(不一定示出所有部件)在本技术领域中都是已知的。

图11A显示了气体输送盒1005的示例性实施方案的三维视图1100,其具有连接至气体输送盒1005内的各种气体输送部件的外部EtherCAT节点连接1150。三维视图1100还显示为包括若干MFC1111和若干气体输送部件1113(例如,上锁/挂牌(lockout/tagout:LOTO)阀、压力调节器、气体过滤器,二端口阀、三端口阀等)。若干MFC中的每一个都通过MFC通信线1109电耦合。

外部EtherCAT节点连接1150被显示为包括用于气体输送盒1005内的部件与远程控制器(图未示)之间通信的EtherCAT连接器区1103、允许访问若干气体输送盒内部的通信部件(以下将参考图11B更详细呈现并叙述)的访问端口1101、以及互锁主连接器区1170。

互锁主连接器区1170亦示为包括有例如用于耦合至互锁主机的连接器端口1105、以及用于耦合至例如外部排出传感器的额外连接器端口1107(在一个具体示例性实施方案中,该额外连接器端口1107可以是用于数字和/或模拟通信的DB-9端口)。

图11B显示了图11A的气体输送盒1005示例性实施方案的另一个三维视图1130,其具有气体输送盒1005内的各种气体输送部件的另一视图。该三维视图1130示出了气体输送盒1005内的内部通信部件1131,其可从图11A的访问端口1101访问。内部通信部件1131提供远程控制器(未图示)与气体输送盒1005内的各种气体输送部件(例如,MFC 1111和若干气体输送部件1113)之间的数字和/或模拟通信。

图11C显示了根据图11A的用于系统通信的外部EtherCAT节点连接1150的一部分的示例性实施方案,其包括有EtherCAT连接器区1103。该EtherCAT连接器区1103被显示为包括例如若干指示灯1151(例如,用于确认电力输送、EtherCAT诊断、风扇操作等)、以及用于系统控制器(未图示)与图10、11A和11B的气体输送盒1005之间通信的一或更多EtherCAT连接器1153。在具体的示例性实施方案中,该一或更多EtherCAT连接器1153可包括本领域中已知的RJ-45连接器。

图11D显示了外部EtherCAT节点连接1150的另一部分(参见图11A和11B)的示例性实施方案,其包括互锁主连接器区1170,以用于根据图11A的系统通信。

具有执行各种操作指令的机器

图12显示了根据一些实施方案的能够从机器可读介质(例如,非暂时性机器可读介质、机器可读存储介质、计算机可读存储介质或其任何适当组合)读取指令并进行本文所讨论的任何一或更多种方法的机器1200的部件的框图。具体而言,图12显示了呈现为计算机系统的示例形式的机器1200的代表图,该机器1200内可执行用于使计算机1200进行本文所讨论的任何一或更多种方法的指令1224(例如,软件、程序、应用程序、小应用程序、行动应用程序(APP)或其他可执行代码)。

在另一实施方案中,机器1200作为独立装置进行操作或可连接(例如,网络连接)至其他机器。在网络连接部署中,机器1200可以服务器-客户端网络环境中的服务器机器或客户端机器的角色或是作为对等(或分布式)网络环境中的对等机器进行操作。该机器1200可以是服务器计算机、客户端计算机、个人计算机(PC)、平板计算机、膝上型计算机、笔记本电脑、机顶盒(STB)、个人数字助理器(PDA)、移动电话、智能型手机、网络设备、网络路由器、网路交换器、网络网桥或能够依序或以其他方式执行指令1224(其指定将由该机器采取的动作)的任何机器。此外,虽然仅示出单个机器,但术语“机器”也应视为包括单独或联合执行指令1224的机器集合,以执行本文所讨论的任何一或更多种方法。

机器1200包括处理器1202(例如,中央处理单元(CPU)、图形处理单元(GPU)、数字信号处理器(DSP)、专用集成电路(ASTC)、射频集成电路(RFIC)或其任何合适的组合)、主存储器1204和静态存储器1206,其配置为经由总线1208彼此通信。处理器1202可包含微电路,其可由一些或全部指令1224暂时或永久地配置,使得处理器1202可配置为执行全部或部分本文所述的任何一或更多种方法。例如,处理器1202的成组的一或更多个微电路可配置为执行本文所述的一或更多模块(例如,软件模块)。

机器1200还可进一步包括图形显示器1210(例如,等离子体显示面板(PDP)、发光二极管(LED)显示器、液晶显示器(LCD)、投影机或阴极射线管(CRT))。机器1200还可包括字母数字输入装置1212(例如,键盘)、光标控制装置1214(例如,鼠标、触摸板、轨迹球、操纵杆、动作传感器或其他指向仪器)、存储单元1216、信号产生装置1218(例如,扬声器)和网络接口装置1220。

存储单元1216包括机器可读介质1222(例如,有形和/或非暂时性机器可读存储介质),其上存储有实现本文所述的任何一或更多种方法或功能的指令1224。指令1224也可在机器1200执行期间完全或至少部分地保留于主存储器1204内、处理器1202内(例如,处理器的高速缓存内)或其两者中。因此,主存储器1204和处理器1202可被视为机器可读介质(例如,有形和/或非暂时性机器可读介质)。指令1224可经由网络接口装置1220在网络1226上发送或接收。例如,网络接口装置1220可使用任何一或更多个传输协议(例如,超文件传输协议(HTTP))来传送指令1224。

在一些实施方案中,机器1200可以是便携式计算机装置,例如智能型手机或平板计算机,并且具有一或更多附加输入部件(例如,传感器或仪表)。这些附加输入部件的示例包括图像输入部件(例如,一或更多相机)、音频输入部件(例如,麦克风)、方向输入部件(例如,罗盘)、位置输入部件(例如,全球定位系统(GPS)接收器)、定向部件(例如,陀螺仪)、移动检测部件(例如,一或更多加速度计)、高度检测部件(例如,高度仪)和气体检测部件(例如,气体传感器)。由该些输入部件中的任何一或更多个收集的输入可供本文所述的任一模块访问并使用。

如本文所使用的,术语“存储器”是指能够暂时或永久存储数据的机器可读介质,并可视为包括,但不限于,随机存取存储器(RAM)、只读存储器(ROM)、缓冲存储器、闪存和高速缓存。虽然机器可读介质1222在一实施方案中被示为单个介质,但术语“机器可读介质”应视为包括能够存储指令的单个介质或多个介质(例如,集中式或分布式数据库或相关高速缓存和服务器)。术语“机器可读介质”也应视为包括能够存储由机器(例如,机器1200)执行的指令的任何介质或多个介质组合,使得指令在由机器的一或更多个处理器(例如,处理器1202)执行时能够使机器进行本文所述的任何一或更多种方法。因此,“机器可读介质”是指单个存储设备或装置,以及包括多个存储设备或装置的“云端式”存储系统或存储网络。因此,术语“机器可读介质”应视为包括,但不限于,固态存储器、光学介质、磁性介质或其任何合适组合形式中的一或更多个有形(例如,非暂时性)数据存储库。

此外,机器可读介质是非暂时性的,其不包含传播的信号。然而,将有形机器可读介质标记为“非暂时性”不应解释为意指介质不能移动-介质应视为可从一物理位置移动至另一物理位置。此外,由于机器可读介质是有形的,因此可将该介质视为机器可读装置。

指令1224可进一步通过网络接口装置1220,使用传输介质并利用若干已知传输协议(例如,HTTP)中的任何一种协议(例如,HTTP),而在网络1226(例如,通信网路)上发送或接收。通信网路的示例包括局域网络(LAN)、广域网(WAN)、因特网、移动电话网络、普通老式电话业务网络(POTS network)和无线数据网络(例如,WiFi和WiMAX网络)。术语“传输介质”应视为包括能够存储、编码或携带由机器执行的指令的任何无形介质,并且包括数字或模拟通信信号或其他无形介质,以利于这种软件的通信。

如上所述,可通过如上所述的单个EtherCAT接口,来控制任何气体输送盒或气体面板。单个EtherCAT接口大幅简化气体输送盒或气体面板的设置和测试。在多种实施方案中,可通过一个EtherCAT连接来配置并测试气体输送盒,从而减少所需的测试设备和现有技术中有问题的接口盒电缆布置和分开。因此,所公开的主题能够轻易整合至任何气体输送盒中,例如通常耦合至半导体处理工具,并且可以预先配置并测试,使得所公开的主题包含即插即用的配置,使得能简单整合于终端用户站点。

在整个说明书中,多个实例可实现被描述为单一个体的部件、操作或结构。虽然一或更多种方法的各个操作是作为分开的操作来说明和描述的,但是可同时执行一或更多分开的操作,其并不需要以所示的顺序执行操作。在示例性配置中呈现为分开部件的结构和功能可以组合结构或部件来实施。类似地,呈现为单个部件的结构和功能则可以分开部件来实施。这些和其他变化、修改、添加和改良都落于本文的主题的范围内。

本文将某些实施方案描述为包括逻辑或若干部件、模块或机制。模块可构成软件模块(例如,包含于机器可读介质上或在传输信号中的代码)或硬件模块。“硬件模块”是能够进行某些操作的有形单元,并可按某种物理方式配置或布置。在多种实施方案中,一或更多个计算机系统(例如,独立计算机系统、客户端计算机系统或服务器计算机系统)或计算机系统的一或更多硬件模块(例如,处理器或成组的处理器)可由软件(例如,应用程序或应用程序部分)配置为用于执行如本文所述的某些操作的硬件模块。

在一些实施方案中,硬件模块可以机械、电子或其任何合适的组合来实现。例如,硬件模块可包括永久配置为执行某些操作的专用电路或逻辑。例如,硬件模块可以是专用处理器,例如现场可编程门阵列(FPGA)或ASIC。在本文所述的各种实施方案中,可使用预先认证为SIL3标准的FPGA。

硬件模块也可包括由软件暂时配置以执行某些操作的可编程逻辑或电路。例如,硬件模块可包括包含在通用处理器或其他可编程处理器内的软件。应当理解,可通过成本和时间考虑,来驱动在专用且永久配置电路中或在暂时配置电路(例如,由软件配置)中以机械方式执行硬件模块的决定。

因此,术语“硬件模块”应理解为包含有形实体(entity),其为物理构造、永久配置(例如,硬连线)或暂时配置(例如,编程)成以某种方式操作或执行本文所述的某种操作的实体。如本文所使用的,“硬件实现模块”是指硬件模块。考虑硬件模块暂时配置(例如,程序化)的实施方案,无须在任何时刻都配置或示例化每一硬件模块。例如,在硬件模块包括通用处理器由软件配置成为专用处理器的情况下,通用处理器可在不同时间分别配置成不同的专用处理器(例如,包括不同的硬件模块)。软件可因此配置处理器,例如,在一时刻构成特定硬件模块,并在不同时刻构成不同硬件模块。

硬件模块可向其他硬件模块提供信息和从其接收信息。因此,所述的硬件模块可视为通信耦合。在同时存在多个硬件模块的情况下,可通过在两个或更多硬件模块之间的信号传输(例如,通过适当的电路和总线)来实现通信。在不同时间配置或示例化多个硬件模块的实施方案中,可例如通过存储器结构中的信息的存储和检索来实现这些硬件模块间的通信,其中多个硬件模块可以访问所述存储器结构。例如,一硬件模块可执行操作并将该操作的输出存储在与其通信耦合的存储器装置中。接着,另一硬件模块可随后访问该存储器装置,以获取并处理存储的输出。硬件模块也可启动与输入或输出装置的通信,并可对资源(例如,信息集合)进行操作。

本文所述的示例性方法的各种操作可至少部分地由暂时配置(例如,通过软件)或永久配置以执行相关操作的一或更多处理器来执行。无论是暂时配置或是永久配置,这种处理器可构成处理器实现模块,其操作以执行本文所述的一或更多个操作或功能。如本文所使用的,“处理器实施模块”是指使用一或更多个处理器执行的硬件模块。

类似地,本文所述的方法可至少部分地由处理器实现,处理器是硬件的示例。例如,方法的至少一些操作可由一或更多个处理器或处理器实现的模块来进行。此外,一或更多处理器亦可操作以支持“云端计算”环境中的相关操作性能或“软件即服务(SaaS)”。例如,至少一些操作可由一组计算机(作为包括处理器的机器示例)执行,可经由网络(例如,因特网)并经由一或更多适当接口(例如,应用程序编程接口(API))访问这些操作。

某些操作的执行可能分散于一或更多个处理器之间,不仅位于单个机器内,而且遍及若干机器部署。在一些实施方案中,一或更多个处理器或处理器实现的模块可位于单个地理位置(例如,在家庭环境、办公室环境或服务器农场内)。在其他实施方案中,一或更多个处理器或处理器实现的模块可遍及若干地理位置分布。

如本文中所使用的,用词“或”可理解为包含或排他的意义。此外,本领域技术人员在阅读并理解所提供的公开内容后将能够理解其他实施方案。另外,在阅读并理解本文提供的公开内容后,本领域技术人员将容易理解,本文提供的技术和示例的各种组合可全部以各种组合应用。

虽然各个实施方案是分开讨论的,但这些分离的实施方案的用意不应被视为独立技术或设计。如上所述,各种部分中的每一个可以是相互关联的,且各自可单独使用或与本文讨论的其他微粒物质传感器校正系统实施方案组合使用。例如,虽然已描述了方法、操作和工艺的各种实施方案,但该些方法、操作和工艺可单独使用或以各种组合使用。

因此,对本领域技术人员而言,在阅读并理解本文提供的公开内容后将可轻易进行许多修改和变化。除了本文所列举的以外,本领域技术人员可从前文描述轻易得知本发明范围内的功能等同的方法和装置。一些实施方案的部分和特征可包括在其他实施方案中,或者取代其他实施方案的部分和特征。这些修改和变化旨在落入随附权利要求的范围内。因此,本发明仅受随附权利要求的术语和这些权利要求所赋予的等同方案的全部范围所限制。还应当理解,本文使用的术语仅为了描述特定实施方案的目的,而非用于限制。

本发明的摘要是为了使读者快速确定技术公开内容的本质而提供。提交摘要应理解为其不能用于解释或限制权利要求。另外,在前述实施方案中,可看出可以是为了简化本发明的目的,将各种特征一起组合在单一实施方案中。本发明的方法不应被解释为限制权利要求。因此,以下权利要求在此并入实施方案中,且每个权利要求各自作为单独的实施方案。

相关技术
  • 可配置分布式互锁系统
  • 分布式统计分析系统的配置方法及分布式统计分析系统
技术分类

06120112331613