掌桥专利:专业的专利平台
掌桥专利
首页

封装结构及其制造方法

文献发布时间:2023-06-19 11:27:38


封装结构及其制造方法

技术领域

本发明实施例提供一种封装结构及其制造方法。

背景技术

通常将半导体装置及集成电路制造在单个半导体晶片上。可在晶片级上处理晶片的管芯并将所述管芯与其他半导体装置或管芯封装在一起,且已针对晶片级封装开发出各种技术。

发明内容

本发明实施例提供一种封装结构包括半导体管芯、多个导电柱、绝缘包封体、重布线路结构及阻焊层。导电柱排列在半导体管芯旁边。绝缘包封体包封半导体管芯及导电柱,且绝缘包封体具有第一表面及与第一表面相对的第二表面。重布线路结构位于绝缘包封体的第一表面上。阻焊层位于绝缘包封体的第二表面上,其中阻焊层的材料包含填料。

附图说明

结合附图阅读以下详细说明,能最好地理解本公开的各方面。注意,根据行业中的标准惯例,各种特征未按比例绘制。事实上,为论述的清晰起见,可任意地增大或减小各种特征的尺寸。

图1到图8是根据本公开的一些实施例的封装结构的制造方法中的各个阶段的示意性剖视图。

图9是根据本公开的一些实施例的封装结构的示意性剖视图。

图10是根据本公开的一些实施例的封装结构的示意性剖视图。

图11是根据本公开的一些实施例的封装结构的示意性剖视图。

图12到图14是根据本公开的一些实施例的封装结构的制造方法中的各个阶段的示意性剖视图。

图15是根据本公开的一些实施例的封装结构的示意性剖视图。

图16是根据本公开的一些实施例的封装结构的示意性剖视图。

图17是根据本公开的一些实施例的封装结构的示意性剖视图。

[符号的说明]

10a、10b、10c、10d:封装结构/半导体封装

110a、110b:阻焊层

120:导电柱

120b:底表面/表面

120s、130s、SW1、SW2:侧壁

120t、130t、140t:顶表面

130、820a、820b:半导体管芯

130b:后侧表面

131、810:衬底

130a:有源表面

132、840、850:导电垫

133:钝化层

134:钝化后层

135:连接通孔

136:保护层

140、140a、860:绝缘包封体

140b:底表面

150、190:重布线路结构

152、152a、152b、152c、152d、192:介电层

154、154a、154b、154c、194:金属化层

162:凸块下金属图案

164:接触垫

172、180a、180b、870:导电元件

174:半导体装置

300a、300b:接头

800:半导体封装

810a、810b:表面

830a、830b:接合打线

C:载体

DA、DA2、DA3:连接膜

DB:剥离层

HD:固持装置

OP1、OP2、OP3:开口

S0、S1、S2、S3、S4:表面

SP1、SP2、SP3、SP4:封装结构

T110a、T110b:厚度

X、Y、Z:方向

θ1、θ2、θ3、θ4:夹角

具体实施方式

以下公开内容提供诸多不同的实施例或实例以实施所提供主题的不同特征。下文阐述组件、值、操作、材料、排列等的具体实例以使本公开简明。当然,这些仅是实例并不旨在进行限制。涵盖其他组件、值、操作、材料、排列等。举例来说,在以下说明中,第一特征形成在第二特征之上或形成在第二特征上可包括第一特征与第二特征形成为直接接触的实施例,且还可包括额外特征可形成在第一特征与第二特征之间以使得第一特征与第二特征不可直接接触的实施例。另外,本公开可在各种实例中重复使用参考编号及/或字母。此重复是出于简明及清晰目的,本质上并不规定所述的各种实施例及/或配置之间的关系。

此外,为便于说明起见,本文中可使用例如“在…之下(beneath)”、“在…下方(below)”、“下部(lower)”、“在…上方(above)”、“上部(upper)”等空间相对用语来阐述一个元件或特征与另外的元件或特征之间的关系,如图中所说明。除了图中所绘示的定向之外,所述空间相对用语还旨在囊括装置在使用或操作中的不同定向。可以其他方式对设备进行定向(旋转90度或处于其他定向),且同样地可据此对本文中所使用的空间相对描述符加以解释。

另外,为便于说明起见,本文中可使用例如“第一”、“第二”等用语来阐述图中所说明的类似或不同的元件或特征,且所述用语可根据存在的次序或说明的上下文而互换使用。

本公开还可包括其他的特征及工艺。举例来说,可包括测试结构来辅助对三维(three dimensional,3D)封装或三维集成电路(three dimensional integratedcircuit,3DIC)装置进行验证测试。所述测试结构可包括例如形成在重布线层中或形成在衬底上的测试垫,所述测试垫允许对三维封装或三维集成电路进行测试,允许使用探针及/或探针卡等。可对中间结构及最终结构执行验证测试。另外,本文中所公开的结构及方法可与测试方法结合使用,所述测试方法包括在中间阶段验证出已知良好管芯(known gooddie)以提高良率(yield)且降低成本。

图1到图8是根据本公开的一些实施例的封装结构的制造方法中的各个阶段的示意性剖视图。在实施例中,所述制造方法是晶片级封装工艺的一部分。应注意,本文中所述的工艺步骤涵盖用于制作封装结构的制造工艺的一部分。实施例旨在提供进一步阐释,但不用于限制本公开的范围。在一些实施例中,如图1到图8中所示,示出一个(半导体)芯片或管芯以表示晶片的多个(半导体)芯片或管芯,且示出一个(半导体)封装结构以表示遵照(半导体)制造方法而获得的多个(半导体)封装结构,然而本公开并不仅限于此。在替代实施例中,示出多于一个(半导体)芯片或管芯以表示晶片的多个(半导体)芯片或管芯,且示出一个或多于一个(半导体)封装结构以表示遵照(半导体)制造方法而获得的多个(半导体)封装结构。

参考图1,在一些实施例中,提供上面涂布有剥离层DB及阻焊层110a的载体C。在一些实施例中,载体C可以是玻璃载体或任何适合的载体,其用于承载半导体封装的制造方法所用到的半导体晶片或重组晶片。

在一些实施例中,剥离层DB设置于载体C上,如图1中所示。剥离层DB的材料可为适用于将载体C接合到设置在载体C上的上方层或任何晶片以及将载体C从所述上方层或任何晶片剥离的任何材料。在一些实施例中,剥离层DB可包括由介电材料制成的介电层,所述介电材料包括任何适合的聚合物系介电材料(例如,苯并环丁烯(benzocyclobutene,BCB)、聚苯并恶唑(polybenzoxazole,PBO))。在替代实施例中,剥离层DB可包括由在受热时失去其粘合性质的环氧系热释放材料制成的介电材料层,例如光热转换(light-to-heat-conversion,LTHC)释放涂布膜。在另一替代实施例中,剥离层DB可包括由在暴露于紫外线(ultra-violet,UV)光时失去其粘合性质的紫外线胶制成的介电材料层。在某些实施例中,剥离层DB可以液体形式进行施配并被固化,或者可以是层压到载体C上的层压膜等。与接触载体C的底表面相对的剥离层DB的顶表面可以是平整的且可具有高共面程度。在某些实施例中,剥离层DB是例如具有良好的耐化学性的光热转换层,且此剥离层DB能够通过施加激光辐射而从载体C进行室温剥离,然而本公开并不仅限于此。

在一些实施例中,阻焊层110a设置于剥离层DB上,且剥离层DB位于载体C与阻焊层110a之间。在一些实施例中,阻焊层110a的表面S1(例如,顶表面)可提供高共面度及高平坦度。由于高共面度及高平坦度,因此有益于形成在稍后形成的膜层及/或元件。如图1中所示,沿着方向Z(例如,载体C、剥离层DB及阻焊层110a的堆叠方向),阻焊层110a的厚度T110a近似介于微米(10μm)至30μm范围内。

在一些实施例中,阻焊层110a是由阻焊材料制成的膜层,其中阻焊材料是由环氧系树脂及填料组成。在一些实施例中,在阻焊层110a中,环氧系树脂对所述填料的重量百分比近似为40:60到60:40。所述填料例如包含二氧化硅(SiO

如图1中所说明,在阻焊层110a为感光性的(photosensitive)实施例中,通过阻焊层110a的表面S2(例如,底表面),将阻焊层110a层压到剥离层DB上之后,通过光刻工艺在阻焊层110a中形成多个开口OP1以暴露出剥离层DB的一些部分。举例来说,形成于阻焊层110a中的开口OP1局部地暴露出剥离层DB的表面S0。在图1中所示的阻焊层110a中,阻焊层110a的表面S2与每一开口OP1的侧壁SW1之间的夹角θ1近似为60度到80度,且阻焊层110a的表面S1与每一开口OP1的侧壁SW1之间的夹角θ2(即θ2=180度-θ1)为100度到120度。举例来说,沿着方向Z,表面S2与表面S1相对,且表面S2堆叠于图1中所示的剥离层DB的表面S0上。由于此形成具有开口OP1的阻焊层110a的方式,进一步降低制造成本及工艺复杂性。

图1出于说明目的仅示出两个开口OP1,且本公开并不仅限于此。基于要求及设计布局,开口OP1的数目可多于两个。另外,举例来说,在X-Y平面上(其中方向X不同于方向Y,且方向X及方向Y不同于方向Z(例如,堆叠方向)),开口OP1的尺寸(例如,最大宽度)可相同,然而本公开并不仅限于此。在替代实施例中,根据设计布局及/或要求,开口OP1的尺寸可彼此不同,或者可以不同群组的方式不同。在一个实施例中,在X-Y平面上,开口OP1的横截面形状个别地可以是圆形、椭圆、卵形、四边形、八边形或任何适合的多边形形状;本公开并不仅限于此。

参考图2,在一些实施例中,在阻焊层110a上形成至少一个导电柱120及至少一个半导体管芯130。出于说明目的,至少一个导电柱120包括多个导电柱120(例如,两个导电柱120),且至少一个半导体管芯130包括一个半导体管芯130,如图2中所呈现。然而,导电柱120的数目及半导体管芯130的数目并不仅限于本公开中所绘示的数目,且可基于要求及设计布局来选择及指定。举例来说,导电柱120的数目可多于两个,且半导体管芯130的数目可多于一个,其中可通过改变开口OP1的数目调整导电柱120的数目。在一些实施例中,导电柱120及半导体管芯130并排地排列在阻焊层110a上。

在一些实施例中,导电柱120形成在阻焊层110a(例如,阻焊层110a的表面S1)上。在一些实施例中,导电柱120可以是整合(或称集成)扇出型(integrated fan-out,InFO)穿孔。在一些实施例中,导电柱120沿着两个封装结构(例如封装结构10a中的两者)之间的切割线(未示出)排列,但不位于所述切割线上。如图2中所示,在一些实施例中,导电柱120形成在阻焊层110a上且经由开口OP1穿透过阻焊层110a。举例来说,通过开口OP1,导电柱120实体接触剥离层DB。

在一些实施例中,通过光刻法、镀覆、光刻胶剥除工艺或任何其他适合的方法形成导电柱120。举例来说,所述镀覆工艺可包括电镀镀覆、无电镀等。举例来说,可通过以下步骤形成导电柱120:形成具有多个开口的掩模图案(未示出),所述掩模图案覆盖阻焊层110a且所述多个开口暴露出通过形成在阻焊层110a中的开口OP1暴露出的剥离层DB的表面S0;通过电镀或沉积形成金属材料从而填充形成在所述掩模图案中的所述多个开口及开口OP1以形成导电柱120;且然后移除所述掩模图案。在一个实施例中,通过可接受的灰化工艺及/或光刻胶剥除工艺(例如,使用氧气等离子等)移除掩模图案。在一些实施例中,在形成掩模图案之前,可在阻焊层110a之上共形地形成晶种层(未示出)且使所述晶种层延伸到开口OP1中以定位在剥离层DB上,其中填充形成在所述掩模图案中的所述多个开口及形成在阻焊层110a中的开口OP1的金属材料用作掩模以移除晶种层未被覆盖的部分。本公开并不仅限于此。在一些实施例中,导电柱120的材料可包括金属材料,例如铜或铜合金等。在本说明通篇,用语“铜”旨在包括实质上纯元素铜、含有不可避免的杂质的铜及含有微量的元素(例如钽、铟、锡、锌、锰、铬、钛、锗、锶、铂、镁、铝或锆等)的铜合金

然而,本公开并不仅限于此。在替代实施例中,导电柱120可以是预制作(pre-fabricated)导电柱,可通过拾取与放置(picking-and-placing)将所述预制作导电柱设置于阻焊层110a上。

继续参照图2,在一些实施例中,在阻焊层110a上及在载体C之上设置半导体管芯130。举例来说,将半导体管芯130拾起并放置在阻焊层110a上,且通过连接膜DA贴合或粘附在阻焊层110a上。在一些实施例中,连接膜DA位于半导体管芯130与阻焊层110a之间,其中连接膜DA实体接触半导体管芯130的后侧表面130b以及阻焊层110a(例如,阻焊层110a的表面S1)。由于连接膜DA,半导体管芯130与阻焊层110a彼此稳定地粘附。在一些实施例中,连接膜DA可以是例如管芯贴合膜、由粘合剂或环氧树脂制成的膜层等。

在一些实施例中,半导体管芯130包括:衬底131,具有有源表面130a及与有源表面130a相对的后侧表面130b(沿着方向Z);多个导电垫132,形成在有源表面130a上;钝化层133,设置在导电垫132上且局部地暴露出导电垫132;钝化后层134,设置在钝化层133上且局部地暴露出导电垫132;多个连接通孔135,设置在导电垫132上;及保护层136,覆盖钝化后层134及连接通孔135。换句话说,钝化层133的多个接触开口及钝化后层134的多个接触开口局部地暴露出分布在衬底131的有源表面130a上的导电垫132,以使导电垫132实体地连接到连接通孔135。

举例来说,衬底131是半导体衬底。在一些实施例中,衬底131的材料可包括硅衬底,所述硅衬底包括形成在所述硅衬底中的有源组件(例如,晶体管及/或存储器,例如N型金属氧化物半导体(N-type metal oxide semiconductor,NMOS)装置及/或P型金属氧化物半导体(P-type metal oxide semiconductor,PMOS)装置等)及/或无源组件(例如,电阻器、电容器、电感器等)。在替代实施例中,衬底131可以是块状硅衬底,例如块状单晶硅衬底、经掺杂的硅衬底、未经掺杂的硅衬底或绝缘体上硅(silicon on insulator,SOI)衬底,其中经掺杂的硅衬底的掺杂剂可以是N型掺杂剂、P型掺杂剂或其组合。本公开并不仅限于此。

在一些实施例中,导电垫132可以是铝垫或其他适合的金属垫。举例来说,可通过电镀或沉积且然后使用光刻法及刻蚀工艺来图案化而形成导电垫132。

在一些实施例中,连接通孔135可以是铜柱、铜合金柱或其他适合的金属柱。举例来说,连接通孔135的形成工艺可与导电柱120的形成实质上相同或类似。然而,本公开并不仅限于此。

在一些实施例中,钝化层133、钝化后层134及/或保护层136可以是PBO层、聚酰亚胺(PI)层或其他适合的聚合物。在某些实施例中,钝化层133、钝化后层134及/或保护层136可由无机材料(例如,氧化硅、氮化硅、氮氧化硅)或任何适合的介电材料制成。在一个实施例中,钝化层133的材料、钝化后层134的材料及/或保护层136的材料可以是相同的。在替代实施例中,钝化层133的材料、钝化后层134的材料及/或保护层136的材料可彼此不同,本公开并不仅限于此。

在一些实施例中,本文中所述的半导体管芯130可被称为芯片或集成电路(IC)。举例来说,在替代实施例中,半导体管芯130包括数字芯片、模拟芯片或混合信号芯片,例如专用集成电路(application-specific integrated circuit,“ASIC”)芯片、传感器芯片、无线射频(radio frequency,RF)芯片、存储芯片、逻辑芯片、电压调节器芯片或其组合。在替代实施例中,半导体管芯130可被称为组合型芯片或集成电路。举例来说,半导体管芯130可以是同时包括射频芯片及数字芯片两者的无线保真(Wireless Fidelity,WiFi)芯片。本公开并不仅限于此。

在替代实施例中,半导体管芯130还可包括相同类型或不同类型的额外半导体管芯。举例来说,额外半导体管芯可包括数字芯片、模拟芯片或混合信号芯片,例如专用集成电路芯片、传感器芯片、无线射频芯片、存储芯片、逻辑芯片或电压调节器芯片。本公开并不仅限于此。

如图2中所示,举例来说,在X-Y平面上,导电柱120的定位位置位于半导体管芯130的定位位置旁边。在一些实施例中,沿着方向Z,导电柱120的高度大于半导体管芯130的高度;然而,本公开并不仅限于此。在替代实施例中,导电柱120的高度可小于或实质上等于半导体管芯130的高度。在一个实施例中,可在形成半导体管芯130之前形成导电柱120;然而,本公开并不仅限于此。在替代实施例中,可在形成半导体管芯130之后再形成导电柱120。

参考图3,在一些实施例中,在载体C之上(例如,在阻焊层110a上)形成绝缘包封体140a以包封导电柱120及半导体管芯130。换句话说,在阻焊层110a、导电柱120及半导体管芯130上形成绝缘包封体140a,其中导电柱120及半导体管芯130(设置有连接膜DA)被绝缘包封体140a覆盖且嵌入在绝缘包封体140a中。如图3中所示,举例来说,绝缘包封体140a至少填充导电柱120之间的间隙以及导电柱120、半导体管芯130及连接膜DA之间的间隙。在一些实施例中,导电柱120的侧壁120s及半导体管芯130的侧壁130s被绝缘包封体140a覆盖。在一些实施例中,通过导电柱120及半导体管芯130暴露出的阻焊层110a的表面S1被绝缘包封体140a覆盖。举例来说,如图3中所示,绝缘包封体140a不会以可触及地的方式显露出阻焊层110a、导电柱120、半导体管芯130及连接膜DA。

在一些实施例中,绝缘包封体140a是通过模塑工艺形成的模塑化合物。在一些实施例中,绝缘包封体140a例如可包含聚合物(例如,环氧树脂、酚醛树脂、含有硅的树脂或其他适合的树脂)、具有低电容率(low permittivity;Dk)及低损耗角正切(low losstangent;Df)性质的介电材料或其他适合的材料。本公开并不仅限于此。在替代实施例中,绝缘包封体140a可包含可接受的绝缘包封材料。在一些实施例中,绝缘包封体140a可更包含可添加到绝缘包封体140a中以优化绝缘包封体140a的热膨胀系数的无机填料或无机化合物(例如,二氧化硅、粘土等)。在本公开中,绝缘包封体140a的材料不同于阻焊层110a的材料,其中绝缘包封体140a的热膨胀系数小于阻焊层110a的热膨胀系数。

参考图4,在一些实施例中,将绝缘包封体140a平坦化以形成暴露出导电柱120及半导体管芯130的绝缘包封体140。在某些实施例中,如图4中所示,在平坦化之后,绝缘包封体140的顶表面140t暴露出导电柱120的顶表面120t及半导体管芯130的顶表面130t(或前表面)(例如,半导体管芯130的连接通孔135的顶表面及保护层136的顶表面(未标示))。即,举例来说,半导体管芯130的顶表面130t及导电柱120的顶表面120t与绝缘包封体140的顶表面140t实质上齐平。换句话说,半导体管芯130的顶表面130t、导电柱120的顶表面120t及绝缘包封体140的顶表面140t彼此实质上共面。在一些实施例中,导电柱120各自穿透过绝缘包封体140且具有从绝缘包封体140暴露出的顶表面120t,而半导体管芯130嵌入在绝缘包封体140内且具有从绝缘包封体140暴露出的顶表面130t。举例来说,如图4中所示,绝缘包封体140以可触及地的方式显露出导电柱120及半导体管芯130。

举例来说,可通过机械研磨(grinding)或化学机械抛光(chemical mechanicalpolishing,CMP)将绝缘包封体140a平坦化。在平坦化步骤之后,可任选地执行清洁步骤以例如清洁并移除由平坦化步骤产生的残余物。然而,本公开并不仅限于此,且可通过任何其他适合的方法执行平坦化步骤。

在一些实施例中,在将绝缘包封体140a平坦化期间,也可将半导体管芯130的连接通孔135及保护层136、以及导电柱120平坦化。在某些实施例中,可例如对包覆模塑的绝缘包封体140a执行平坦化步骤以使绝缘包封体140的顶表面140t、导电柱120的顶表面120t及半导体管芯130的顶表面130t齐平。

参考图5,在一些实施例中,在导电柱120、半导体管芯130及缘包封体140上形成重布线路结构150。举例来说,如图5中所示,重布线路结构150直接形成在导电柱120的顶表面120t、半导体管芯130的顶表面130t及绝缘包封体140的顶表面140t上。在一些实施例中,重布线路结构150电连接到导电柱120,且通过连接通孔135电连接到半导体管芯130。在一些实施例中,通过重布线路结构150,半导体管芯130电连接到导电柱120。在包括多于一个半导体管芯130的替代实施例中,半导体管芯130通过重布线路结构150进行电通信。如图5中所示,举例来说,重布线路结构150被称为半导体管芯130的前侧重布线层。

举例来说,如图5中所示,沿着堆叠方向(例如,方向Z),半导体管芯130位于重布线路结构150与连接膜DA之间。另外,导电柱120中的每一者的一部分位于重布线路结构150与阻焊层110a之间,且导电柱120中的每一者的其他部分位于重布线路结构150与剥离层DB之间。举例来说,绝缘包封体140位于重布线路结构150与阻焊层110a之间。

在一些实施例中,形成重布线路结构150包括依序交替地形成一个或多个介电层152及一个或多个金属化层154。举例来说,如图5中所示,重布线路结构150包括介电层152a、介电层152b、介电层152c、介电层152d以及金属化层154a、金属化层154b、金属化层154c。在一些实施例中,金属化层154a夹置在介电层152a与介电层152b之间,金属化层154b夹置在介电层152b与介电层152c之间,金属化层154c夹置在介电层152c与介电层152d之间。本公开并不仅限于此。应注意,重布线路结构150并不仅限于包括四个介电层及三个金属化层。举例来说,金属化层的数目及介电层的数目可以是一个或多于一个。

在一些实施例中,介电层152的材料可以是PI、PBO、BCB、例如氮化硅等氮化物、例如氧化硅等氧化物、磷硅酸盐玻璃(phosphosilicate glass,PSG),硼硅酸盐玻璃(borosilicate glass,BSG)、掺杂硼的磷硅酸盐玻璃(boron-doped phosphosilicateglass,BPSG)、其组合等,可使用光刻法及/或刻蚀工艺将上述材料图案化。在一些实施例中,介电层152的材料是通过适合的制作技术(例如,旋转涂布、化学气相沉积(chemicalvapor deposition,CVD)、等离子增强化学气相沉积(plasma-enhanced chemical vapordeposition,PECVD)等)而形成。本公开并不仅限于此。在一些实施例中,金属化层154的材料可由通过电镀或沉积形成的导电材料(例如,铝、钛、铜、镍、钨及/或其合金)制成,可使用光刻法及刻蚀工艺将金属化层154的材料图案化。在一些实施例中,金属化层154可以是图案化铜层或其他适合的图案化金属层。

在一些实施例中,可在一个金属化层154与位于金属化层154之下的相应一个介电层152之间形成晶种层(未示出)。在一些实施例中,所述晶种层可被称为金属层,其可以是单层或者包括由不同材料形成的多个子层的复合层。在一些实施例中,所述晶种层的材料可包括钛、铜、钼、钨、氮化钛、钛钨、其组合等。举例来说,晶种层可包括钛层及位于所述钛层之上的铜层。在一些实施例中,可使用例如溅射、物理气相沉积(physical vapordeposition,PVD)等形成晶种层。

在一些实施例中,通过介电层152的最顶层(例如,介电层152d)暴露出金属化层154的最顶层(例如,金属化层154c)的顶表面的一些部分,以与上方的导电特征(例如,稍后形成的凸块下金属(under-bump metallurgy,UBM)图案162及/或接触垫164)电连接。举例来说,如图5中所示,通过形成在介电层152d中的多个开口OP2暴露出金属化层154c的顶表面的一些部分。在一些实施例中,通过介电层152的最低层(例如,介电层152a)暴露出金属化层154的最低层(例如,金属化层154a)的底表面的一些部分,以与下面的导电特征(例如,导电柱120及半导体管芯130的连接通孔135)电连接。如图5中所示,在一些实施例中,导电柱120及重布线路结构150是为半导体管芯130提供布线功能。

参考图6,在一些实施例中,形成多个UBM图案162以将其设置在金属化层154的最顶层(例如,金属化层154c)的经暴露顶表面上,以与导电元件(例如,导电球或导电凸块)电连接。在一些实施例中,在形成UBM图案162之前、期间或之后,任选地形成多个接触垫164以设置在金属化层154的最顶层(例如,金属化层154c)的经暴露顶表面中的一些暴露顶表面上,以与半导体元件(例如,半导体有源装置或无源装置)电连接。UBM图案162的数目及接触垫164的数目并不仅限于本公开中所绘示的数目,且可基于要求及设计布局来选择及指定,本公开并不仅限于此。

举例来说,如图6中所示,UBM图案162及接触垫164形成在重布线路结构150上且电连接到重布线路结构150。举例来说,UBM图案162及接触垫164设置在介电层152d上且进一步接触通过形成在介电层152d中的开口OP2暴露出的金属化层154c的部分。在一些实施例中,举例来说,UBM图案162及接触垫164的材料可包括铜、镍、钛、钨或其合金等,且可通过电镀工艺形成。在一个实施例中,UBM图案162的材料可与接触垫164的材料相同。在替代实施例中,UBM图案162的材料可与接触垫164的材料不同。在一个实施例中,可仅存在UBM图案162;然而,本公开并不仅限于此。在一个实施例中,可在同一处理步骤中形成UBM图案162及接触垫164。在替代实施例中,可在不同的处理步骤中形成UBM图案162及接触垫164。

继续参照图6,在一些实施例中,在重布线路结构150上形成多个导电元件172。举例来说,在位于重布线路结构150上的UBM图案162上设置导电元件172。在一些实施例中,可通过植球工艺或回焊工艺在UBM图案162上设置导电元件172。在一些实施例中,举例来说,导电元件172是受控塌陷芯片连接(C4)凸块、球栅阵列(ball grid array,BGA)球、焊球/凸块或其他连接件。当使用焊料时,所述焊料可包括共熔焊料或非共熔焊料。所述焊料可包含铅或可不含铅,且可包含Sn-Ag、Sn-Cu、Sn-Ag-Cu等。在本公开中,针对一个实施例,导电元件172可被称为与其他封装连接的导电连接件;或针对另一实施例,导电元件172可被称为输入/输出电信号及/或功率信号的导电端子。在一些实施例中,导电元件172通过UBM图案162电连接(例如电耦合)到重布线路结构150。如图6中所示,举例来说,一些导电元件172通过UBM图案162及重布线路结构150电连接到半导体管芯130,且一些导电元件172通过UBM图案162及重布线路结构150电连接到导电柱120。导电元件172的数目并不仅限于本公开,且可基于UBM图案162的数目来指定及选择。

在一些实施例中,提供一个或多个半导体装置174并将其设置在重布线路结构150上。举例来说,半导体装置174设置在接触垫164上,且通过接触垫164电连接到重布线路结构150。在一些实施例中,一些半导体装置174通过接触垫164及重布线路结构150电连接到半导体管芯130。在一些实施例中,一些半导体装置174通过接触垫164及重布线路结构150电连接到导电柱120。在一些实施例中,一些半导体装置174通过接触垫164、重布线路结构150及UBM图案162电连接到导电元件172中的至少一者。在一些实施例中,可通过回焊工艺或覆晶接合将半导体装置174设置在接触垫164上。在一些实施例中,半导体装置174包括表面安装装置(例如无源装置,例如电容器、电阻器、电感器、其组合等)。可基于接触垫164的数目来选择半导体装置174的数目。在替代实施例中,半导体装置174可包括相同类型或不同类型的表面安装装置,本公开并不仅限于此。在替代实施例中,半导体装置174是任选的且可被省略。

在一些实施例中,沿着方向Z,导电元件172及半导体装置174形成在重布线路结构150的一侧上,且绝缘包封体140形成在重布线路结构150的另一侧上。即,重布线路结构150位于绝缘包封体140与导电元件172之间且位于绝缘包封体140与半导体装置174之间。在一些实施例中,可在形成导电元件172之前形成半导体装置174。在替代实施例中,可在形成导电元件172之后形成半导体装置174。本公开并不仅限于此。

参考图7,在一些实施例中,将图6中所绘示的整个结构与载体C一起翻转(倒置),其中将导电元件172放置到固持装置HD,且然后从阻焊层110a剥离载体C。在一些实施例中,固持装置HD可以是粘合条带、载体膜或吸附垫。由于剥离层DB的存在,因此容易将阻焊层110a与载体C分离。在一些实施例中,通过剥离工艺将载体C与阻焊层110a拆开,并移除载体C及剥离层DB。举例来说,暴露出阻焊层110a的表面S2及导电柱120的底表面120b。在一个实施例中,剥离工艺是激光剥离工艺。在剥离步骤期间,在剥离载体C与剥离层DB之前使用固持装置HD来固定图6中所绘示的封装。如图7中所示,举例来说,导电柱120填充开口OP1,其中导电柱120的表面120b与阻焊层110a的表面S2实质上共面。

参考图8,在一些实施例中,在导电柱120的底表面120b上形成多个导电元件180a。举例来说,通过阻焊层110a的表面S2暴露出的导电柱120的底表面120b被导电元件180a覆盖。举例来说,导电元件180a包括导电凸块或导电球。举例来说,导电元件180a可以是预焊膏。在替代实施例中,导电元件180a可以是预焊块。在一些实施例中,导电元件180a的材料可包括含有或不含有额外杂质(例如Ni、Bi、Sb、Au等)的不含铅焊料材料(例如,Sn-Ag基础材料或Sn-Ag-Cu基础材料)。本公开并不仅限于此。在本公开中,导电元件180a也可被称为电连接到外部元件(例如,额外半导体封装/装置、电路衬底等)的导电端子。如图8中所示,导电元件180a形成在开口OP1外且覆盖导电柱120的表面120b。即,导电元件180a安放在阻焊层110a的表面S2处且从表面S2向外突出。

在一些实施例中,从固持装置HD释放导电元件172以形成封装结构10a。在一些实施例中,在从固持装置HD释放导电元件172之前,执行锯割(单体化)工艺以将彼此之间内连的多个封装结构10a切割成个别的且分离的封装结构10a。在一个实施例中,锯割工艺是包括机械锯切或激光切割的晶片锯割工艺。到此,完成封装结构10a的制造。图8中所绘示的封装结构10a可被称为具有双侧端子的整合(或称集成)扇出型(半导体)封装结构。

在一些替代实施例中,可基于设计布局及要求,通过导电元件172及/或其他导电元件180a为封装结构10a进一步安装电路衬底、中介层(interposer)、额外的封装芯片/管芯或其他电子装置,以形成堆叠封装(stacked package)结构或层叠(package onpackage,PoP)结构。

图9是根据本公开的一些实施例的封装结构的示意性剖视图。与先前所述的元件类似或实质上相同的元件将使用相同的参考编号,且本文中将不再重复相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)。参考图9,举例来说,提供半导体封装800且然后将其接合到封装结构10a,从而形成堆叠结构的封装结构SP1。图8中阐述封装结构10a的细节,且因此为简明起见本文中不再重复。

在一些实施例中,半导体封装800具有衬底810、半导体管芯820a及820b、接合打线830a及830b、多个导电垫840、多个导电垫850、绝缘包封体860及多个导电元件870。举例来说,提供半导体管芯820a及半导体管芯820b并将其设置在衬底810上。在一些实施例中,连接膜DA2位于半导体管芯820a与衬底810之间,且连接膜DA3位于半导体管芯820a与半导体管芯820b之间。在一些实施例中,由于在半导体管芯820a与衬底810之间以及半导体管芯820a与820b之间分别提供连接膜DA2及DA3,因此半导体管芯820a、半导体管芯820b稳定地粘附到衬底810。在一些实施例中,连接膜DA2、连接膜DA3可以是例如管芯贴合膜、由粘合剂或环氧树脂制成的膜层等。

举例来说,半导体管芯820a及820b安装在衬底810的一个表面(例如,表面810a)上。在一些实施例中,半导体管芯820a及820b可以是逻辑芯片(例如,中央处理单元、微控制器等)、存储芯片(例如,动态随机存取存储器(dynamic random access memory,DRAM)芯片、静态随机存取存储器(static random access memory,SRAM)芯片等)、功率管理芯片(例如,功率管理集成电路(power management integrated circuit,PMIC)芯片)、射频(RF)芯片、传感器芯片、信号处理芯片(例如,数字信号处理(digital signal processing,DSP)芯片)及/或前端芯片(例如,模拟前端(analog front-end,AFE)芯片等或其组合)。举例来说,半导体管芯820a及820b是DRAM芯片,如图9中所示。在一个实施例中,半导体管芯820a与820b可以是相同的。然而,本公开并不仅限于此;在替代实施例中,半导体管芯820a与820b可彼此不同。

在一些实施例中,接合打线830a及830b分别用于提供半导体管芯820a、半导体管芯820b与位于衬底810的表面810a上的导电垫840(例如,接合垫)中的一些导电垫840之间的电连接。由于接合打线830a及830b,半导体管芯820a及820b电连接到衬底810。

在一些实施例中,绝缘包封体860形成在衬底810的表面810a上以包封半导体管芯820a、半导体管芯820b、接合打线830a、830b及导电垫840从而保护这些组件。在一些实施例中,绝缘包封体860的材料与绝缘包封体140/140a的材料相同,且因此为简明起见本文中不再重复。在一个实施例中,绝缘包封体860的材料不同于绝缘包封体140/140a的材料,本公开并不仅限于此。

在一些实施例中,可使用嵌入在衬底810中的内连件(未示出)或绝缘体穿孔(未示出)来提供导电垫840与位于衬底810的另一表面(例如,沿着方向Z与表面810a相对的表面810b)上的导电垫850(例如,接合垫)之间的电连接。在某些实施例中,除了电连接到一些导电垫840及接合打线830a、830b之外,导电垫850中的一些导电垫850还通过这些绝缘体通孔或内连件(未示出)电连接到半导体管芯820a及820b。

在一些实施例中,导电元件870设置在导电垫850上及衬底810的表面810b之上。导电元件870的形成及材料可与导电元件170的形成及材料或者与导电元件180a的形成及材料相同或类似,且因此本文中出于简明起见不再重复。如图9中所示,举例来说,在封装结构SP1中,封装结构10a的导电元件180a接合到半导体封装800的导电元件870且因此形成多个接头300a,以将半导体管芯130电连接到半导体管芯820a、半导体管芯820b。在一些实施例中,接头300a位于阻焊层110a与衬底810之间。换句话说,半导体封装800的半导体管芯820a及半导体管芯820b通过接合打线830a及830b、设置在衬底810上的导电垫840及850、接头300a(包括导电元件870及180a)、导电柱120及重布线路结构150而电连接到封装结构10a的半导体管芯130。

另外,可优选地形成填充底胶(未示出)以使其包绕接头300a的侧壁。举例来说,所述填充底胶可进一步填充封装结构10a的阻焊层110a与半导体封装800的衬底810之间的间隙。举例来说,所述填充底胶可以是任何可接受的材料,例如聚合物、环氧树脂、模塑填充底胶等。在一个实施例中,可通过填充底胶施配或任何其他适合的方法来形成所述填充底胶。由于所述填充底胶,封装结构10a与半导体封装800之间的接合强度得以增强,从而提高图9中所绘示的封装结构SP1的可靠性。

图10是根据本公开的一些实施例的封装结构的示意性剖视图。图11是根据本公开的一些实施例的封装结构的示意性剖视图。一起参考图8及图10,图8中所绘示的半导体封装10a与图10中所绘示的半导体封装10b是类似的,差异在于半导体封装10b中还包括额外元件,例如重布线路结构190。与先前所述的元件类似或实质上相同的元件将使用相同的参考编号,且本文中将不再重复相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)。就包括重布线路结构190的实施例来说,在形成导电柱120之前且在形成阻焊层110a之后形成重布线路结构190。

在一些实施例中,重布线路结构190位于阻焊层110a的表面S1及绝缘包封体140的底表面140b上(例如,上述两者之间)。沿着方向Z,绝缘包封体140的底表面140b与绝缘包封体140的顶表面140t相对。在一些实施例中,重布线路结构190电连接到导电柱120,通过导电柱120电连接到重布线路结构150,且通过导电柱120、重布线路结构150及连接通孔135电连接到半导体管芯130。在一些实施例中,通过导电柱120、重布线路结构150及UBM图案162,重布线路结构190进一步电连接到导电元件172中的至少一者。在一些实施例中,通过导电柱120、重布线路结构150及接触垫164,重布线路结构190进一步电连接到半导体装置174中的至少一者。在一些实施例中,重布线路结构190电连接到导电元件180a。在这些实施例中,半导体管芯130通过重布线路结构150、导电柱120及重布线路结构190电连接到导电元件180a中的至少一些。如图10中所示,举例来说,重布线路结构190被称为半导体管芯130的后侧重布线层。

在一些实施例中,形成重布线路结构190包括依序交替地形成一个或多个介电层192及一个或多个金属化层194。出于说明目的,如图10中所示,重布线路结构190包括一个介电层192及一个金属化层194。应了解,重布线路结构190并不仅限于包括一个介电层192及一个金属化层194。介电层192的数目及金属化层194的数目可基于要求及设计布局而多于一个。在一些实施例中,金属化层194位于阻焊层110a上;介电层192位于金属化层194上;且导电柱120、半导体管芯130及绝缘包封体140位于介电层192上。举例来说,如图10中所示,导电柱120个别地穿透介电层192以电连接到金属化层194,且金属化层194穿透阻焊层110a以电连接到导电元件180a。即,导电元件180a经由重布线路结构190电连接到导电柱120。

在一些实施例中,介电层192的材料及形成可与介电层152的材料及形成相同,且金属化层194的材料及形成可与金属化层154的材料及形成相同,因此本文中不再重复。在替代实施例中,介电层192的材料可与介电层152的材料相同或不同。在替代实施例中,金属化层194的材料可与金属化层154的材料相同或不同。本公开并不仅限于此。在一些替代实施例中,晶种层(未示出)可形成在金属化层194与位于金属化层194之下的阻焊层110a之间。在一些实施例中,所述晶种层可被称为金属层,其可以是单层或者包括由不同材料形成的多个子层的复合层。在一些实施例中,所述晶种层的材料可包括钛、铜、钼、钨、氮化钛、钛钨、其组合等。举例来说,晶种层可包括钛层及位于所述钛层之上的铜层。在一些实施例中,可使用例如溅射、物理气相沉积(PVD)等形成所述晶种层。

在替代实施例中,封装结构SP1中的封装结构10a可被图10的封装结构10b取代,参见图11中所绘示的封装结构SP2。在一些实施例中,如图11中所示,对于封装结构SP2来说,通过连接导电元件870与导电元件180a(例如,形成接头300a)来将半导体封装800接合到图10中所绘示的半导体封装10b。在这些实施例中,半导体封装800的半导体管芯820a、半导体管芯820b通过接合打线830a及830b、设置在衬底810上的导电垫840及850、接头300a(包括导电元件870及180a)、重布线路结构190、导电柱120及重布线路结构150电连接到封装结构10b的半导体管芯130。

图12到图14是根据本公开的一些实施例的封装结构的制造方法中的各个阶段的示意性剖视图。图15是根据本公开的一些实施例的封装结构的示意性剖视图。与先前所述的元件类似或实质上相同的元件将使用相同的参考编号,且本文中将不再重复相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)。

参考图12,在一些实施例中,提供上面涂布有剥离层DB及阻焊层110b的载体C。举例来说,剥离层DB位于载体C与阻焊层110b之间。图1中已阐述了载体C的材料以及剥离层DB的形成及材料,且因此本文中为简明起见不再重复。在本公开中,阻焊层110b的形成及材料与图1中所述的阻焊层110a的形成及材料类似,然而,阻焊层110b是非感光性的(non-photosensitive)。在一些实施例中,阻焊层110b的厚度T110b近似为10μm到30μm。由于阻焊层110b的存在(例如,低热膨胀系数值)以及它的特定厚度范围,而实现对封装结构10c的更好翘曲控制(例如,在室温下翘曲小于或实质上等于80μm,且大于或实质上等于-80μm)。

此后,在一些实施例中,在阻焊层110b上(例如,在阻焊层110b的表面S3上)形成至少一个导电柱120及至少一个半导体管芯130。出于说明目的,所述至少一个导电柱120包括多个导电柱120(例如,两个导电柱120),且至少一个半导体管芯130包括一个半导体管芯130;然而,本公开并不仅限于此。图1中已阐述了导电柱120的形成及材料以及半导体管芯130的形成及材料,且因此本文中为简明起见不再重复。在一些实施例中,导电柱120及半导体管芯130沿着X-Y平面并排地排列在阻焊层110b上。由于阻焊层110b是非感光性的,因此在形成导电柱120及半导体管芯130之前阻焊层110b中不会形成开口OP1。

参考图13,在一些实施例中,对图12中所绘示的结构执行先前所述的制造工艺(如图3到图7中所述)后,再执行后续的图案化工艺。举例来说,通过对图12中所绘示的结构执行先前所述的制造工艺(如图3到图7中所述)后,再从阻焊层110b移除载体C及剥离层DB,其中阻焊层110b的表面S4被暴露出来。沿着方向Z,表面S4与表面S3相对。

此后,在一些实施例中,然后将暴露的阻焊层110b图案化以在其中形成多个开口OP3。举例来说,所述图案化工艺包括激光钻孔工艺。举例来说,图13中出于说明目的仅示出两个开口OP3;然而,开口OP3的数目可基于要求及设计布局而多于两个。另外,举例来说,在X-Y平面上,开口OP3的尺寸(例如,最大宽度)可相同,然而本公开并不仅限于此。在替代实施例中,根据设计布局及/或要求,开口OP3的尺寸可彼此不同,或者可以不同群组的方式不同。在一个实施例中,在X-Y平面上,开口OP3的横截面形状个别地可以是圆形、椭圆、卵形、四边形、八边形或任何适合的多边形形状;本公开并不仅限于此。如图13中所说明,在将阻焊层110b图案化之后,导电柱120的底表面120b通过开口OP3而暴露出来。如图13中所示,举例来说,开口OP3未填充有导电柱120,其中导电柱120的表面120b与阻焊层110b的表面S3实质上共面。即,导电柱120未延伸到开口OP3中。在图13中所示的阻焊层110b中,阻焊层110b的表面S3与每一开口OP3的侧壁SW2之间的夹角θ3近似为40度到60度,且阻焊层110b的表面S4与每一开口OP3的侧壁SW2之间的夹角θ4(即θ4=180度-θ3)为120度到140度。由于此形成具有开口OP3的阻焊层110b的方式,能进一步降低制造成本。

参考图14,在一些实施例中,在导电柱120的底表面120b上且在开口OP3中形成多个导电元件180b。举例来说,通过阻焊层110a暴露出的导电柱120的底表面120b被导电元件180b覆盖。导电元件180b的形成及材料与图8中所述的导电元件180a的形成及材料类似,且因此本文中不再重复。在本公开中,导电元件180b也可被称为电连接到外部元件(例如,额外半导体封装/装置、电路衬底等)的导电端子。如图14中所示,导电元件180b形成在开口OP3内且覆盖导电柱120的表面120b。即,导电元件180b安置在阻焊层110a的表面S3所位于的第一平面处,从第一平面朝向表面S4所位于的第二平面突出。

在一些实施例中,在形成导电元件180b之后,从固持装置HD释放导电元件172以形成封装结构10c。在一些实施例中,在从固持装置HD释放导电元件172之前,执行锯割(单体化)工艺以将彼此之间内连的多个封装结构10c切割成个别的且分离的封装结构10c。在一个实施例中,所述锯割工艺是包括机械锯切或激光切割的晶片锯割工艺。到此,完成封装结构10c的制造。图14中所绘示的封装结构10c可被称为具有双侧端子的整合扇出型(半导体)封装结构。

在一些替代实施例中,可基于设计布局及要求,通过导电元件172及/或其他导电元件180b为封装结构10c进一步安装电路衬底、中介层、额外封装、芯片/管芯或其他电子装置,以形成堆叠封装结构或层叠封装(PoP)结构。举例来说,将图14的封装结构10c接合到半导体封装800以形成封装结构SP3,如图15中所示。

参考图15,举例来说,对于封装结构SP3来说,通过连接导电元件870与导电元件180b(例如,形成接头300b)来将半导体封装800接合到图14中所绘示的半导体封装10c。在一些实施例中,接头300b中的每一者的一部分位于开口OP3中。在这些实施例中,半导体封装800的半导体管芯820a、半导体管芯820b通过接合打线830a及830b、设置在衬底810上的导电垫840及850、接头300b(包括导电元件870及导电元件180b)、导电柱120及重布线路结构150电连接到封装结构10c的半导体管芯130。

图16是根据本公开的一些实施例的封装结构的示意性剖视图。图17是根据本公开的一些实施例的封装结构的示意性剖视图。一起参考图14及图16,图14中所绘示的半导体封装10c与图16中所绘示的半导体封装10d类似,差异在于半导体封装10c中还包括额外元件(例如,重布线路结构190)。与先前所述的元件类似或实质上相同的元件将使用相同的参考编号,且本文中将不再重复相同元件的某些细节或说明(例如,材料、形成工艺、定位配置等)。

就包括重布线路结构190的实施例来说,重布线路结构190是在形成导电柱120之前且在形成阻焊层110b之后形成。图10中已阐述了重布线路结构190的形成及材料,且因此本文中为简明起见不再重复。在一些实施例中,重布线路结构190位于阻焊层110b的表面S3及绝缘包封体140的底表面140b上(例如,上述两者之间)。与图10中所述的封装结构10b的提供布线功能的重布线路结构190类似,举例来说,重布线路结构190也被称为图16中所绘示的封装结构10d的半导体管芯130的后侧重布线层。即,与封装结构10b的重布线路结构190类似,所述重布线路结构190也与封装结构10d内的其他导电组件一起为半导体管芯130提供布线功能。

在一些替代实施例中,可基于设计布局及要求,通过导电元件172及/或其他导电元件180b为封装结构10d进一步安装电路衬底、中介层、额外封装、芯片/管芯或其他电子装置,以形成堆叠封装结构或层叠封装(PoP)结构。举例来说,将图16的封装结构10d接合到半导体封装800以形成封装结构SP4,如图17中所示。图9中阐述了半导体封装800的细节,且因此本文中不再重复。在一些实施例中,如图17中所示,对于封装结构SP4来说,通过连接导电元件870与导电元件180b(例如,形成接头300b)将半导体封装800接合到图16中所绘示的半导体封装10d。在这些实施例中,半导体封装800的半导体管芯820a、半导体管芯820b通过接合打线830a及830b、设置在衬底810上的导电垫840及850、接头300b(包括导电元件870及导电元件180b)、重布线路结构190、导电柱120及重布线路结构150电连接到封装结构10d的半导体管芯130。

根据一些实施例,一种封装结构包括半导体管芯、多个导电柱、绝缘包封体、重布线路结构及阻焊层。所述导电柱排列在所述半导体管芯旁边。所述绝缘包封体包封所述半导体管芯及所述多个导电柱,且所述绝缘包封体具有第一表面及与所述第一表面相对的第二表面。所述重布线路结构位于所述绝缘包封体的所述第一表面上。所述阻焊层位于所述绝缘包封体的第二表面上,其中阻焊层的材料包含填料。

根据一些实施例,在所述封装结构中,其中沿所述重布线路结构、所述绝缘包封体及所述阻焊层的堆叠方向,所述阻焊层的厚度近似介于10μm到30μm范围内。根据一些实施例,在所述封装结构中,其中所述阻焊层的所述材料还包含环氧系树脂,且所述环氧系树脂对所述填料的重量百分比近似为40:60到60:40。根据一些实施例,所述封装结构还包括位于所述重布线路结构上的多个第二导电元件,其中所述重布线路结构位于所述多个第二导电元件与所述绝缘包封体之间

根据一些实施例,在所述封装结构中,其中所述阻焊层包括多个接触开口,且所述多个导电柱中的每一者的表面与所述阻焊层的第三表面实质上共面,其中所述阻焊层的所述第三表面比所述阻焊层的第四表面距离所述绝缘包封体的所述第二表面远,且所述第四表面与所述第三表面相对。根据一些实施例,在所述封装结构中,其中所述阻焊层是感光性的。根据一些实施例,在所述封装结构中,其中所述阻焊层的所述第三表面与所述多个接触开口中的每一者的侧壁之间的夹角近似介于60度到80度范围内。根据一些实施例,所述封装结构还包括多个第一导电元件,所述多个第一导电元件位于所述多个接触开口外且位于与所述阻焊层的所述第三表面实质上共面的所述多个导电柱的所述表面上。

根据一些实施例,在所述封装结构中,其中所述阻焊层包括多个接触开口,且所述多个导电柱中的每一者的表面与所述阻焊层的第三表面实质上共面,其中所述阻焊层的所述第三表面比所述阻焊层的第四表面更靠近所述绝缘包封体的所述第二表面,且所述第四表面与所述第三表面相对。根据一些实施例,在所述封装结构中,其中所述阻焊层是非感光性的。根据一些实施例,在所述封装结构中,其中所述阻焊层的所述第三表面与所述多个接触开口中的每一者的侧壁之间的夹角近似介于40度到60度范围内。根据一些实施例,所述封装结构还包括多个第一导电元件,所述多个第一导电元件位于所述多个接触开口内且位于与所述阻焊层的所述第三表面实质上共面的所述多个导电柱的所述表面上。

根据一些实施例,一种封装结构包括半导体管芯、绝缘包封体、第一重布线路结构及阻焊层。半导体管芯具有前表面及与所述前表面相对的后表面。所述绝缘包封体在侧向上包封所述半导体管芯。所述第一重布线路结构位于半导体管芯的所述前表面上。所述阻焊层位于半导体管芯的后表面之上,其中所述阻焊层的材料包含填料。

根据一些实施例,所述封装结构还包括:多个导电柱,位于所述半导体管芯的旁边且在侧向上包封在所述绝缘包封体中,其中所述多个导电柱电连接到所述第一重布线路结构;多个第一导电元件,位于所述多个导电柱上且电连接到所述多个导电柱,其中所述多个导电柱位于所述第一重布线路结构与所述多个第一导电元件之间;以及多个第二导电元件,位于所述第一重布线路结构上且电连接到所述第一重布线路结构,其中所述第一重布线路结构位于所述多个第二导电元件与所述绝缘包封体之间,其中所述多个第一导电元件通过所述多个导电柱及所述第一重布线路结构电连接到所述半导体管芯,且所述多个第二导电元件通过所述第一重布线路结构电连接到所述半导体管芯。根据一些实施例,所述封装结构还包括第二重布线路结构,位于所述半导体管芯的所述后表面上且位于所述绝缘包封体与所述阻焊层之间,其中所述多个第一导电元件通过所述第二重布线路结构、所述多个导电柱及所述第一重布线路结构电连接到所述半导体管芯。根据一些实施例,在所述封装结构中,其中沿所述第一重布线路结构、所述绝缘包封体及所述阻焊层的堆叠方向,所述阻焊层的厚度近似介于10μm到30μm范围内。根据一些实施例,所述封装结构还包括子封装,位于所述阻焊层上,其中所述子封装包括至少一个存储管芯;以及多个接头,夹置在所述多个导电柱与所述子封装之间且对所述多个导电柱与所述子封装进行电连接。

根据一些实施例,一种制造封装结构的方法包括以下步骤:提供载体;在所述载体上使用包含填料的材料形成阻焊层;在所述阻焊层上设置半导体管芯及多个导电柱;将所述半导体管芯及所述多个导电柱包封在所述绝缘包封体中;在所述绝缘包封体上形成第一重布线路结构;在所述多个导电柱之上设置多个第一导电元件,所述多个导电柱位于所述第一重布线路结构与所述多个第一导电元件之间;以及在所述第一重布线路结构上设置多个第二导电元件,所述第一重布线路结构位于所述绝缘包封体与所述多个第二导电元件之间。

根据一些实施例,在所述的方法中,在所述多个导电柱上设置所述多个第一导电元件之前,其中从所述阻焊层剥离所述载体,且通过激光钻孔将所述阻焊层图案化以形成多个接触开口,其中所述多个第一导电元件位于所述多个接触开口内且位于所述多个导电柱上。根据一些实施例,在所述的方法中,在形成所述阻焊层之后且在所述阻焊层上设置所述半导体管芯及所述多个导电柱之前,还包括:通过光刻法及刻蚀将所述阻焊层图案化以形成多个接触开口,其中所述多个导电柱被形成为局部地位于所述多个接触开口中,其中在所述多个导电柱之上设置所述多个第一导电元件之前,所述载体被从所述阻焊层剥离,并且所述多个第一导电元件位于所述多个接触开口外且位于所述多个导电柱上。

上述内容概述了数个实施例的特征,以使所属领域的技术人员能够更好地理解本发明的各方面。所属领域的技术人员应了解,其可容易地使用本发明作为设计或修改其他工艺及结构以实现与本文中所介绍的实施例相同的目的及/或达成相同的优势的基础。所属领域的技术人员还应意识到这些等效构造并不背离本发明的精神及范围,且其可在不背离本发明的精神及范围的情况下在本文中做出各种变化、替代及更改。

相关技术
  • 堆叠式封装结构、其封装结构及封装结构的制造方法
  • 封装结构和封装结构制造方法
技术分类

06120112937718