掌桥专利:专业的专利平台
掌桥专利
首页

生物传感器系统封装件及其制造方法

文献发布时间:2023-06-19 12:14:58


生物传感器系统封装件及其制造方法

技术领域

本申请的实施例涉及生物传感器系统封装件及其制造方法。

背景技术

生物传感器是用于感测和检测生物分子的器件,并且基于电子、电化学、光学和机械检测原理操作。包括晶体管的生物传感器是电感测生物实体或生物分子的电荷、光子和机械特性的传感器。可以通过检测生物实体或生物分子本身,或通过具体反应物和生物实体/生物分子之间的相互作用和反应实施检测。这样的生物传感器可以使用半导体工艺来制造,可以快速地转换电信号,并且可以容易地应用于集成电路(IC)和微机电系统(MEMS)。

发明内容

本申请的一些实施例提供了一种生物传感器系统封装件,包括:晶体管结构,位于具有前侧和背侧的半导体层中,所述晶体管结构包括沟道区域;埋氧(BOX)层,位于所述半导体层的所述背侧上,其中,所述埋氧层具有位于所述沟道区域的所述背侧上的开口,并且界面层覆盖所述沟道区域上方的所述背侧;多层互连(MLI)结构,位于所述半导体层的所述前侧上,所述晶体管结构电连接至所述多层互连结构;以及覆盖结构,附接至所述埋氧层,所述覆盖结构包括微针。

本申请的另一些实施例提供了一种生物传感器系统封装件,包括:生物传感器结构,位于具有前侧和背侧的半导体层中,所述生物传感器结构包括沟道区域和覆盖所述沟道区域上方的所述背侧的界面层;埋氧(BOX)层,位于所述半导体层的所述背侧上,其中,所述埋氧层具有位于所述沟道区域的所述背侧上的开口,并且在所述开口中暴露所述界面层;多层互连(MLI)结构,位于所述半导体层的所述前侧上,所述生物传感器结构电连接至所述多层互连结构;参考电极,连接至所述多层互连结构并且配置为提供参考电势;以及覆盖结构,附接至所述埋氧层,所述覆盖结构包括微针。

本申请的又一些实施例提供了一种制造生物传感器系统封装件的方法,包括:提供衬底,所述衬底包括具有前侧和背侧的半导体层、位于所述背侧处的埋氧(BOX)层和位于所述背侧处的块状硅层;在所述衬底上形成晶体管结构,其中,所述晶体管结构的沟道区域位于所述半导体层中;在所述半导体层的所述前侧上形成多层互连(MLI)结构,其中,所述多层互连结构电连接至所述晶体管结构;将载体衬底附接至所述多层互连结构;去除所述块状硅层;蚀刻所述埋氧层以在所述沟道区域上方的所述背侧处形成开口;在所述沟道区域上方的所述背侧上沉积界面层;使用互补金属氧化物半导体(CMOS)兼容工艺流程制造覆盖结构;将所述覆盖结构接合至所述埋氧层;以及在所述覆盖结构上创建微针。

附图说明

当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。

图1A是根据一些实施例的示例性生物传感器系统的框图。

图1B是根据一些实施例的在图1A的生物传感器系统中使用的示例性生物传感器的示意图。

图2A是示出根据一些实施例的生物传感器系统封装件的截面图。

图2B是示出根据一些实施例的另一生物传感器系统封装件200b的截面图。

图3A是根据一些实施例的集成连续生物标志物监测和处理芯片的顶视图。

图3B是示出根据一些实施例的沿图3A的线A-A’的集成连续生物标志物监测和处理芯片的截面的截面图。

图3C是示出根据一些实施例的沿图3A的线B-B’的集成连续生物标志物监测和处理芯片的截面的截面图。

图3D是示出了根据一些实施例的沿图3A的线C-C’的集成连续生物标志物监测和处理芯片的截面的截面图。

图3E是示出根据一些实施例的图3A的集成连续生物标志物监测和处理芯片的使用的图。

图3F是示出根据一些实施例的操作图3A的集成连续生物标志物监测和处理芯片的方法的流程图。

图4A是根据一些实施例的同时生物标志物监测和药物释放处理芯片以及它们的应用的顶视图。

图4B是示出根据一些实施例的用于图4A的同时生物标志物监测和药物释放处理芯片的方法的流程图。

图5A是根据一些实施例的另一集成连续生物标志物监测和处理芯片的顶视图。

图5B是示出根据一些实施例的沿图5A的线A-A’的集成连续生物标志物监测和处理芯片的截面的截面图。

图5C是示出根据一些实施例的沿图5A的线B-B’的集成连续生物标志物监测和处理芯片的截面的截面图。

图5D是示出根据一些实施例的沿图5A的线C-C’的集成连续生物标志物监测和处理芯片的截面的截面图。

图5E是示出根据一些实施例的图5A的集成连续生物标志物监测和处理芯片的应用的图。

图5F是示出根据一些实施例的用于连续生物标志物监测的方法的流程图。

图5G是示出根据一些实施例的图5A的集成连续生物标志物监测和处理芯片的应用的图。

图5H是示出根据一些实施例的用于利用闭环药物释放处理的连续生物标志物监测的方法的流程图。

图6A和图6B是示出根据一些实施例的分别制造图2A和图2B的生物传感器系统封装件的方法的流程图。

图6C是示出根据一些实施例的图6A和图6B的方法的步骤624的流程图。

图6D是示出根据一些实施例的图6A和图6B的方法的步骤636的流程图。

图6E是示出根据一些实施例的图6A和图6B的方法的步骤636的另一流程图。

图7至图38是示出根据一些实施例的根据图6A和图6B的方法的一个或多个步骤构造的生物传感器系统封装件的截面图。

具体实施方式

以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。

而且,为了便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。

通常,本文所用的术语“bioFET”是指包括用作表面受体以检测生物学来源的目标分析物的存在的固定的捕获试剂层的场效应晶体管(FET)。根据一些实施例,bioFET是具有半导体换能器的场效应传感器。bioFET的一个优势是无标记操作的前景。具体地,bioFET可以避免昂贵且费时的标记操作,诸如利用例如荧光或放射性探针标记分析物。用于通过bioFET检测的分析物将通常是生物学来源,诸如但不限于蛋白质、碳水化合物、脂类、组织碎片或它们的部分。BioFET可以是也可以检测任何化合物(在本领域中称为“ChemFET”)或包括诸如质子或金属离子的离子的任何其它元素(在本领域中称为“ISFET”)的更广泛的FET传感器类的一部分。本发明适用于所有类型的基于FET的传感器(“FET传感器”)。

如本文所用的“捕获试剂”是能够结合目标分析物或目标试剂的分子或化合物,其可以直接或间接附接至基本固体材料。捕获试剂可以是化学物质,并且具体是用于存在自然发生的目标分析物(例如,抗体、多肽、DNA、RNA、细胞、病毒等)或可以制备目标分析物的任何物质,并且捕获试剂可以在测定中结合至一种或多种目标分析物。

如本文所用的“目标分析物”是使用本发明在测试样品中要检测的物质。目标分析物可以是化学物质,并且具体是用于存在自然发生的捕获试剂(例如,抗体、多肽、DNA、RNA、细胞、病毒等)或可以制备捕获试剂的任何物质,并且目标分析物可以在测定中结合至一种或多种捕获试剂。“目标分析物”也包括任何抗原性物质、抗体或它们的组合。目标分析物可以包括蛋白质、肽、氨基酸、碳水化合物、激素、类固醇、维生素、包括用于治疗目的而施用的那些以及用于非法目的而施用的那些的药物、细菌、病毒以及以上任何物质的代谢物或抗体。

如本文所用的“生物标志物”是指一些疾病状态的严重性或存在性的可测量指标。一般来说,生物标志物是可以用作生物体的特定疾病状态或一些其它生理状态指标的任何东西。生物标志物可以是作为检查器官功能或健康其它方面的方法而引入生物体的物质。例如,同位素标记中使用了氯化铷来评估心肌的灌注。它也可以是一种物质,它的检测指示特定的疾病状态,例如,抗体的存在可以指示感染。更具体地,生物标志物指示蛋白质的表达或状态的改变,其与疾病的风险或进展,或与疾病对给定治疗的敏感性相关。生物标志物可以是特征性生物学特性或可以在身体部分(如血液或组织)中检测并且测量的分子。它们可以指示体内正常或患病过程。生物标志物可以是具体的细胞、分子或基因、基因产物、酶或激素。复杂的器官功能或生物学结构中的一般特征变化也可以用作生物标志物。

如本文所用的“测试样品”是指包含要使用本发明检测和测定的目标分析物的组合物、溶液、物质、气体或液体。除目标分析物外,测试样品还可以包含其它成分,可以具有液体或气体的物理属性,并且可以具有任何尺寸或体积,包括例如移动的液体或气体流。测试样品可以包含目标分析物以外的任何物质,只要其它物质不干扰目标分析物与捕获试剂的结合或第一结合构件至第二结合构件的具体结合。测试样品的实例包括但不限于自然发生和非自然发生的样品或它们的组合。自然发生的测试样品可以是合成物或合成的。自然发生的测试样品包括从受试者体内或体内任何地方分离出的津液或体液,包括但不限于血液、血浆、血清、尿液、唾液或痰、脊髓液、脑脊髓液、胸膜液、乳头抽吸液、淋巴液、呼吸道、肠道和泌尿生殖道的液体、泪液、唾液、母乳、淋巴系统的液体、精液、脑脊髓液、器官内的液体、腹水、肿瘤囊肿液、羊水和它们的组合以及诸如地下水或废水的环境样品、土壤提取物、空气和农药残留或与食物相关的样品。

检测到的物质可以包括例如核酸(包括DNA和RNA)、激素、不同的病原体(包括会导致宿主疾病的生物制剂,诸如病毒(例如,H7N9或HIV)、原生动物(例如,引起疟原虫的疟疾)或细菌(例如,大肠埃希氏菌或结核分枝杆菌))、蛋白质、抗体、各种药物或治疗剂或其它化学或生物物质(包括氢或其它离子、非离子分子或化合物、多糖、小的化学化合物(诸如化学组合库成员)等)。检测到或确定的参数可以包括但不限于pH变化、乳糖变化、浓度变化、每单位时间的颗粒(流体在器件上方流动一段时间以检测颗粒(例如,稀疏的颗粒))和其它参数。

如本文所用,术语“固定的”当相对于例如捕获剂使用时,包括将捕获剂以分子水平基本附接至表面。例如,可以使用包括非共价相互作用(例如,静电力、范德华力和疏水性界面的脱水)和共价结合技术的吸附技术将捕获试剂固定至衬底材料的表面,其中官能团或键有助于将捕获试剂附接至表面。将捕获试剂固定至衬底材料的表面可以基于衬底表面的特性、携带捕获试剂的介质和捕获试剂的特性。在一些情况下,可以首先修改衬底表面以具有结合至表面的官能团。然后官能团可以结合至生物分子或生物或化学物质以使它们固定在其上。

生物传感器系统包括感测芯片和微针等。通常分别制造并且然后手动组装微针和感测芯片,这不是可扩展的制造解决方案。

根据一些实施例,提供了晶圆级封装解决方案,以一起制造感测芯片和覆盖结构以及微针。溶液可以用于生物标志物监测和/或药物释放。因为一起制造微针和感测芯片,所以无需手动组装微针和感测芯片。这是可扩展的制造解决方案,并且可以降低制造成本。增加的集成进一步使得构建生物标志物监测和药物释放反馈系统成为可能。当向患者提供治疗时,这种反馈系统可以防止释放可能对患者有毒的过多的药物。反馈系统是一种闭环反馈系统,其中药物释放取决于生物标志物的水平。大量的生物传感器可以用作由微针提供服务的覆盖结构的每个微流体室的阵列。这提供了感测结果的更好的统计分析,并且降低了结果的信噪比(SNR)。根据一些实施例,生物传感器系统封装件可以通过引线接合连接至单独芯片/管芯。根据一些实施例,生物传感器系统封装件可以通过衬底通孔(TSV)结构连接至单独芯片/管芯。

图1A是根据一些实施例的示例性生物传感器系统100的框图。图1B是根据一些实施例的在图1A的生物传感器系统100中使用的示例性生物传感器103的示意图。如图1A所示,示例性生物传感器系统100可以包括生物传感器阵列102、控制传感器阵列104、温度传感器106、参考电极108、传感器界面130、放大器132、功率调节器134、模数转换器(ADC)136、数字控制模块138、无线收发器(TRX)140、加热器142和接合焊盘144等。

生物传感器阵列102可以具有至少一个用于检测生物或化学分析物的感测元件。生物传感器阵列102可以包括生物传感器阵列(例如,图1B所示的生物传感器103),其中功能化阵列中的一个或多个生物传感器以检测特定的目标分析物。可以使用不同的捕获试剂功能化不同的生物传感器,用于检测不同的目标分析物。生物传感器可以布置在多个行和列中,从而形成生物传感器的二维阵列。在一些实施例中,使用不同的捕获试剂功能化生物传感器的每个行。在一些实施例中,使用不同的捕获试剂功能化生物传感器的每个列。在一些实施例中,使用不同的捕获试剂功能化生物传感器的一定范围的行和列。下面参考图1B提供关于示例性生物传感器103的进一步细节。

控制传感器阵列104具有与生物传感器阵列102类似的结构。控制传感器阵列104提供要与在生物传感器阵列102处生成的信号相比较的参考信号,以生成差分信号。传感器界面130与生物传感器阵列102和控制传感器阵列104接口。所得的差分信号由放大器132进一步放大。参考电极108提供参考电势。参考电极108可以由以下材料中的一个制成:Ag/AgCl、Cu/CuSO

如图1B所示,示例性生物传感器103可以包括流体栅极112、源极区域114、漏极区域116、感测膜118、沟道区域120等。流体122位于感测膜118上方。流体122可以包含未示出的分析物。感测膜118可以是将流体122与沟道区域120分隔开的电和化学绝缘层。感测膜118可以包括捕获剂层等。捕获试剂对分析物是特异的,并且能够结合目标分析物或目标试剂。当结合分析物时,在感测膜118的表面处发生静电势的变化,这进而引起生物传感器103的静电栅控效应以及源极电极和漏极电极之间的电流I

图2A是示出根据一些实施例的生物传感器系统封装件200a的截面图。图2B是示出根据一些实施例的另一生物传感器系统封装件200b的截面图。图6A和图6B是示出根据一些实施例的分别制造图2A和图2B的生物传感器系统封装件200a和200b(统称为200)的方法的流程图。图6C是示出根据一些实施例的方法600的步骤624的流程图。图6D是示出根据一些实施例的方法600的步骤636的流程图。图6E是示出根据一些实施例的方法600的步骤636的另一流程图。应该指出,对于方法的额外实施例,可以在方法600之前、期间和之后提供额外步骤,并且可以替换或消除下面描述的一些步骤。此外,应该指出,方法600是CMOS兼容的工艺流程。图7至图38是示出根据一些实施例的根据图6A和图6B的方法的一个或多个步骤构造的生物传感器系统封装件的截面图。应该指出,图2A至图2B和图7至图38是示意性的,并且未按比例绘制。

如图2A和图2B所示,生物传感器系统封装件200a和200b的每个(统称为200)具有前侧(F)和背侧(B)。在图2A和图2B所示的实例中,生物传感器系统封装件200a和200b的每个包括埋氧(BOX)层206、半导体层208、晶体管结构(即,FET)210、温度传感器211、多层互连(MLI)结构212、载体衬底220、单独芯片/管芯(例如,RAM和数据处理芯片)250、沟槽222、界面层(例如,高k材料层)224、参考电极227和覆盖结构228等。单独芯片250通过引线接合连接至图2A的生物传感器系统封装件200a,而单独芯片250通过衬底通孔(TSV)结构246和焊料凸块248连接至图2B的生物传感器系统封装件200b。TSV结构246位于前侧(F)处。覆盖结构228附接至背侧(B)。覆盖结构228包括覆盖结构衬底230、室244、微针241、入口274和可选高k介电材料层242等。室244可以容纳要测试的流体样品。下面将参考图6A至图6E和图7至图38描述生物传感器系统封装件200的组件的细节。

图3A是根据一些实施例的集成连续生物标志物监测和处理芯片300的顶视图。图3B是示出根据一些实施例的沿图3A的线A-A’的集成连续生物标志物监测和处理芯片300的截面的截面图。图3C是示出根据一些实施例的沿图3A的线B-B’的集成连续生物标志物监测和处理芯片300的截面的截面图。图3D是示出根据一些实施例的沿图3A的线C-C’的集成连续生物标志物监测和处理芯片300的截面的截面图。图3E是示出根据一些实施例的图3A的集成连续生物标志物监测和处理芯片300的使用的图。图3F是示出根据一些实施例的操作图3A的集成连续生物标志物监测和处理芯片300的方法390的流程图。

如图3A至图3D所示,集成连续生物标志物监测和处理芯片300可以包括互补金属氧化物半导体(CMOS)专用集成电路(ASIC)348、附接至CMOS ASIC 348的背侧的覆盖结构362和气液分离膜358等。在图3A至图3D所示的实例中,覆盖结构362经由晶圆接合结构364附接至CMOS ASIC 348,但是可以采用其它接合方法。示例性CMOS ASIC 348具有位于CMOSASIC 348的背侧处的生物传感器阵列302和控制传感器阵列304等。示例性覆盖结构362具有流体室354和多个微针350等。流体室354可以容纳可包含生物标志物分子(例如,葡萄糖分子)360的流体。生物传感器阵列302和控制传感器阵列304可以检测如以上解释的生物标志物分子360的存在和密度。流体经由多个微针350进入流体室354。微针350的数量可以根据需要变化。对于每个微针350,存在将流体室354与外部连接的(硅)微针通道352。气液分离膜358配置为消除流体室354中的气泡,因为只有气体可以通过气液分离膜358。

参考图3E和图3F,集成连续生物标志物监测和处理芯片300用于连续生物标志物监测,操作集成连续生物标志物监测和处理芯片300的方法390从步骤391开始。在步骤391中,微针350插入皮肤368中。具体地,微针350穿透身体(例如,人体)366的皮肤368。生物标志物分子(例如,葡萄糖分子)360可以存在于身体366中(在皮肤368之下,在血管370内部和周围)。在步骤392中,由于压力,组织液可以自然经由微针350的微针通道352流入流体室354中。因此,生物标志物分子360也进入流体室354。在步骤393中,具有生物传感器阵列302和控制传感器阵列304的CMOS ASIC 348连续感测生物标志物分子360并且发送数据。具体地,生物传感器阵列302与控制传感器阵列304一起可以检测生物标志物分子360的存在和密度。检测到的信号由CMOS ASIC 348进一步处理(例如,放大、转换等)。所得数据可以经由图3A所示的接合焊盘344或可选地经由图1A所示的无线收发器模块140发送。因此,集成连续生物标志物监测和处理芯片300可以连续感测生物标志物分子360,这进而可以用于与生物标志物分子360相关的某些疾病(例如,糖尿病)的诊断或治疗。

图4A是根据一些实施例的同时生物标志物监测和药物释放处理芯片400以及它们的应用的顶视图。图4B是示出根据一些实施例的用于图4A的同时生物标志物监测和药物释放处理芯片400的方法490的流程图。

如图4A所示,同时生物标志物监测和药物释放处理芯片400可以包括CMOS ASIC448、附接至CMOS ASIC 448背侧的覆盖结构462以及两个气液分离膜458a和458b等。在图4A所示的实例中,覆盖结构462经由未示出的晶圆接合结构附接至CMOS ASIC 448,但是可以采用其它接合方法。示例性CMOS ASIC 448具有位于CMOS ASIC 448的背侧处的生物传感器阵列402和控制传感器阵列404等。示例性覆盖结构462具有流体室454、药物通道455和多个微针450等。流体室454可以容纳可以包含未示出的生物标志物分子(例如,葡萄糖分子)的流体。生物传感器阵列402和控制传感器阵列404可以检测如以上解释的生物标志物分子的存在和密度。流体经由多个微针450进入流体室454。微针450的数量可以根据需要变化。另一方面,药物通道可以容纳最初在同时生物标志物监测和药物释放处理芯片400的外部的药物溶液474。同时生物标志物监测和药物释放处理芯片400外部的药物溶液474通过射流阀476和泵472连接至药物通道455。可以基于控制信号打开和关闭射流阀476。当打开射流阀476时,药物溶液474可以泵送至药物通道455中,用于经由微针450释放。气液分离膜458a和458b配置为分别消除流体室454和药物通道455中的气泡。

参考图4B和图4A,同时生物标志物监测和药物释放处理芯片400用于同时生物标志物监测和药物释放处理,并且用于同时生物标志物监测和药物释放处理芯片的方法490从步骤491开始。在步骤491中,微针450插入未示出的皮肤中。具体地,微针450穿透未示出的身体(例如,人体)的皮肤。未示出的生物标志物分子(例如,葡萄糖分子)可以存在于身体中。由于压力,未示出的间隙流体可以自然经由微针450流入流体室454中。因此,未示出的生物标志物分子也可以进入流体室454。在步骤492中,关闭射流阀476。因此,药物溶液474不流入药物通道455。在步骤493中,具有生物传感器阵列402和控制传感器阵列404的CMOSASIC 448连续感测生物标志物分子并且发送数据。具体地,生物传感器阵列402与控制传感器阵列404一起可以检测生物标志物分子的存在和密度。检测到的信号由CMOS ASIC 448进一步处理(例如,放大、转换等)。在步骤494中,CMOS ASIC 448确定生物标志物浓度达到异常值(例如,高于阈值浓度)。然后,在步骤495中,打开射流阀476。因此,在步骤496中,药物溶液474流入药物通道455(例如,由泵472泵送)中,并且随后通过微针450流入皮肤/身体中。因此,释放药物溶液474并且药物释放处理开始。另一方面,CMOS ASIC 448仍然连续感测生物标志物分子并且发送如步骤493中的数据。由于药物释放处理,生物标志物浓度随时间变得更低。在步骤497中,CMOS ASIC 448确定生物标志物浓度再次变为正常(例如,低于阈值浓度)。因此,再次关闭射流阀476,从而使得药物溶液474不流入药物通道455中。因此,方法490可以利用一个集成芯片实现同时的生物标志物监测和药物释放处理。换句话说,不断监测生物标志物的浓度,并且基于实时生物标志物的浓度自动触发药物释放处理。

图5A是根据一些实施例的另一集成连续生物标志物监测和处理芯片500的顶视图。图5B是示出根据一些实施例的沿图5A的线A-A’的集成连续生物标志物监测和处理芯片500的截面的截面图。图5C是示出根据一些实施例的沿图5A的线B-B’的集成连续生物标志物监测和处理芯片500的截面的截面图。图5D是示出根据一些实施例的沿图5A的线C-C’的集成连续生物标志物监测和处理芯片500的截面的截面图。图5E是示出根据一些实施例的图5A的集成连续生物标志物监测和处理芯片500的使用的图。图5F是示出根据一些实施例的用于连续生物标志物监测的方法580的流程图。图5G是示出根据一些实施例的图5A的集成连续生物标志物监测和处理芯片500的使用的图。图5H是示出了根据一些实施例的用于利用闭环药物释放处理的连续生物标志物监测的方法590的流程图。

如图5A至图5D所示,集成连续生物标志物监测和处理芯片500可以包括CMOS ASIC548、附接至CMOS ASIC 548背侧的覆盖结构562、入口578和气液分离膜558等。在图5A至图5D所示的实例中,覆盖结构562经由晶圆接合结构564附接至CMOS ASIC 548,但是可以采用其它接合方法。示例性CMOS ASIC 548具有位于CMOS ASIC 548的背侧处的生物传感器阵列502和控制传感器阵列504等。示例性覆盖结构562具有流体室554和多个微针550等。流体室554可以容纳可包含生物标志物分子(例如,葡萄糖分子)560的流体。生物传感器阵列502和控制传感器阵列504可以检测如以上解释的生物标志物分子560的存在和密度。流体可以经由入口578和/或多个微针550进入流体室554。微针550的数量可以根据需要变化。对于每个微针550,存在将流体室554与外部连接的(硅)微针通道552。气液分离膜558配置为消除流体室554中的气泡,因为只有气体可以通过气液分离膜558。

参考图5E和图5F,集成连续生物标志物监测和处理芯片500用于连续生物标志物监测。如图5E所示,流体室554通过射流阀576和泵572连接至缓冲溶液575。缓冲溶液是由弱酸及其共轭碱的混合物组成的水溶液,反之亦然。在一个实例中,缓冲溶液575是1XPBS(1X生理盐水溶液)或具有更低浓度的PBS,诸如0.1XPBS或0.01XPBS。在另一实例中,缓冲溶液575是HEPES[(4-(2-羟乙基)-1-哌嗪乙烷磺酸)]。在又一实例中,缓冲溶液575是TRIS[三(羟甲基)氨基甲烷]。在步骤581中,打开射流阀576,并且缓冲溶液575填充在流体室554中。在步骤582中,微针550插入皮肤568中。具体地,微针550穿透身体(例如,人体)566的皮肤568。生物标志物分子(例如,葡萄糖分子)560可以存在于身体566中(在皮肤568之下,在血管570内部和周围)。由于压力,间隙流体可以自然经由微针550的微针通道552流入流体室554中。因此,生物标志物分子560也进入流体室554。在步骤583中,关闭射流阀576。在步骤584中,具有生物传感器阵列502和控制传感器阵列504的CMOS ASIC 548连续感测生物标志物分子560并且发送数据。具体地,生物传感器阵列502与控制传感器阵列504一起可以检测生物标志物分子560的存在和密度。检测到的信号由CMOS ASIC 548进一步处理(例如,放大、转换等)。所得数据可以经由图5A所示的接合焊盘544或可选地经由图1A所示的无线收发器模块140发送。因此,集成连续生物标志物监测和处理芯片500可以连续感测生物标志物分子560,这进而可以用于与生物标志物分子560相关的某些疾病(例如,糖尿病)的诊断或治疗。

另一方面,参考图5G和图5H,集成连续生物标志物监测和处理芯片500用于利用闭环药物释放处理的连续生物标志物监测。如图5G所示,流体室554经由入口578连接至泵572,该入口578通过射流阀576a(“V1”)和另一射流阀576b(“V2”)分别进一步连接至缓冲溶液575和药物溶液574。在步骤591中,打开射流阀576a,并且关闭射流阀576b。因此,缓冲溶液575填充至流体室554中。在步骤592中,微针550插入皮肤568中。具体地,微针550穿透身体(例如,人体)566的皮肤568。生物标志物分子(例如,葡萄糖分子)560可以存在于身体566中(在皮肤568之下,在血管570内部和周围)。由于压力,间隙流体可以自然经由微针550的微针通道552流入流体室554中。因此,生物标志物分子560也进入流体室554。在步骤593中,关闭射流阀576a和射流阀576b。在步骤594中,具有生物传感器阵列502和控制传感器阵列504的CMOS ASIC 548连续感测生物标志物分子560并且发送数据。具体地,生物传感器阵列502与控制传感器阵列504一起可以检测生物标志物分子560的存在和密度。检测到的信号由CMOS ASIC 548进一步处理(例如,放大、转换等)。所得数据可以经由图5A所示的接合焊盘544或可选地经由图1A所示的无线收发器模块140发送。在步骤595中,CMOS ASIC 548确定生物标志物浓度达到异常值(例如,高于阈值浓度)。然后,在步骤596中,打开射流阀576b,并且射流阀576a保持关闭一段时间。因此,在步骤597中,药物溶液574流入药物通道流体室554中(例如,由泵572泵送),并且随后通过微针550流入皮肤/身体中。因此,释放送药物溶液474并且药物释放处理开始。另一方面,CMOS ASIC 448仍然连续感测生物标志物分子并且发送如步骤594中的数据。由于药物释放处理,生物标志物浓度随时间变得更低。在一定时间段之后,在步骤598中,关闭射流阀576b,同时打开射流阀576a。因此,缓冲溶液575可以流入流体室554中。然后,在步骤599中,关闭射流阀576a和射流阀576b。然后,方法590循环回到步骤594。因此,方法590可以实现利用闭环药物释放处理的同时生物标志物监测。换句话说,不断监测生物标志物的浓度,并且基于实时生物标志物的浓度自动触发药物释放处理。每次释放药物溶液574时,将缓冲溶液575添加至流体室554中。

如上所述,图2A的生物传感器系统封装件200a和图2B的生物传感器系统封装件200b通过图6A至图6B的方法600制造。

方法600从步骤602开始,其中提供衬底。衬底可以是半导体衬底(例如,晶圆)。半导体衬底可以是硅衬底。可选地,衬底可以包括另一元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它们的组合。在图6A至图6E和图7至图38所示的实施例中,衬底是绝缘体上半导体(SOI)衬底202。图7所示的SOI衬底202包括块状硅层204、埋氧(BOX)层206和半导体层208(即,有源层208)。埋氧层206可以通过诸如通过注氧隔离(SIMOX)工艺和/或其它合适的工艺形成。半导体层208可以包括掺杂区域,诸如p阱和n阱。

然后,方法进入步骤604,其中在衬底上形成晶体管结构和温度传感器。晶体管结构(即,FET)可以包括栅极结构、源极区域、漏极区域以及介于源极区域和漏极区域之间的沟道区域。应该指出,在一些实施例中,晶体管结构(即,FET)可以是晶体管结构的阵列。为了简单起见,在下面的描述中仅使用一个晶体管结构作为实例。如图7中的实例所示,可以在半导体层208中的有源区域上形成FET 210的源极、漏极和/或沟道区域。FET 210可以是n型FET(nFET)或p型FET(pFET)。例如,源极/漏极区域可以包括n型掺杂剂或p型掺杂剂,取决于FET配置。栅极结构可以包括栅极介电层、栅电极层和/或其它合适的层。在实施例中,栅电极是多晶硅。其它示例性栅电极包括金属栅电极,该金属栅电极包括诸如Cu、W、Ti、Ta、Cr、Pt、Ag、Au的材料;合适的金属化合物,如TiN、TaN、NiSi、CoSi;它们的组合;和/或其它合适的导电材料。在实施例中,栅极电介质是氧化硅。其它示例性栅极电介质包括氮化硅、氮氧化硅、具有高介电常数(高k)的电介质和/或它们的组合。高k材料的实例包括硅酸铪、氧化铪、氧化锆、氧化铝、五氧化钽、二氧化铪-氧化铝(HfO

温度传感器可以检测图2A和图2B中的室244的温度。如图7中的实例所示,在半导体层208中形成温度传感器211。在一些实施例中,温度传感器211可以包括热耦接元件(例如,铂热电偶)。

然后,方法600进入步骤606,其中在晶体管结构之上形成多层互连(MLI)结构。MLI结构可以包括导线、导电的垂直互连通路(通孔)和/或中介介电层(例如,层间介电(ILD)层)。MLI结构可以提供至晶体管(即,FET)的物理和电连接,如以上参考步骤604所描述。导线可以包括铜、铝、钨、钽、钛、镍、钴、金属硅化物、金属氮化物、多晶硅、它们的组合和/或可能包括一个或多个层或衬垫的其它材料。中介介电层(例如,ILD层)可以包括二氧化硅、氟化硅玻璃(FGS)、SILK(密歇根州陶氏化学公司的产品)、BLACK DIAMOND(加利福尼亚州圣克拉拉应用材料公司的产品)和/或其它合适的绝缘材料。MLI结构可以通过CMOS制造中典型的合适工艺形成,诸如CVD、PVD、ALD、镀、旋涂和/或其它工艺。

如图7中的实例所示,MLI结构212设置在衬底202上并且设置在FET210和温度传感器211之上。MLI结构212包括通过导电通孔或插塞216连接的多个导线214。在一个实施例中,导线214包括铝和/或铜。在一个实施例中,通孔或插塞216包括钨。在另一实施例中,通孔或插塞216包括铜。在一个实施例中,中介介电层218设置在包括MLI结构212的中介导电部件的衬底202上。中介介电层218可以是ILD层。在另一实施例中,介电层218是单个ILD层。在一个实施例中,中介介电层218的每个包括氧化硅。MLI结构212可以提供至FET 210的栅极和/或源极/漏极的电连接。如图7中的实例所示,MLI结构212位于前侧(F)处,而衬底202位于背侧(B)处。

此外,第一金属层(“M1层”)中的导线可以用作如图1A所示的加热器142。换句话说,导线可以是用于生成热量的嵌入式(电阻)加热器。在一些实施例中,加热器可以具有多个可单独控制的区域,和/或由诸如Al、Cu、TiAlN的材料制成,但是也可以采用其它材料。可选地,加热器可以布置在半导体衬底下面并且由硅或多晶硅制成。通过使用嵌入式加热器,可以改善温度控制和均匀性。

然后,方法600进入步骤608,其中载体衬底附接至前侧(F)。换句话说,载体衬底附接至MLI结构。载体衬底可以在随后步骤期间保护前侧(F)。在一个实施例中,载体衬底接合至MLI结构。在另一实施例中,载体衬底接合至形成在MLI结构上的钝化层。可以使用融合、扩散、共熔和/或其它合适的结合方法附接载体衬底。用于载体衬底的示例性组合物包括硅、玻璃和石英。应该指出,其它组合物也是可能的,并且在本发明的范围内。如图8中的实例所示,载体衬底220附接至MLI结构212。在一些实施例中,载体衬底220可以包括诸如互连部件、晶圆接合位点、限定的腔和/或其它合适的部件的功能。

然后,方法600进入步骤610,其中翻转晶圆。如图9所示,背侧(B)位于顶部上。换句话说,块状硅层204位于顶部上。然后,方法600进入步骤612,其中去除块状硅层204。去除可以通过机械或化学方法完成。例如,机械方法包括抛光或研磨,诸如化学机械抛光(CMP)。化学方法包括诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH)的湿蚀刻或包括等离子体和非等离子体蚀刻的干蚀刻。如图10中的实例所示,去除图9中的块状硅层204。埋氧层206位于背侧(B)处的顶部上。

然后,方法600进入步骤614,其中图案化埋氧层以在背侧(B)处形成开口。在埋氧层上形成光刻胶图案。在一些实施例中,光刻胶图案保护一些埋氧层免于随后的非等离子体蚀刻以暴露生物传感器系统封装件的背侧(B)。具体地,光刻胶图案保护一些埋氧层免于随后的非等离子体蚀刻,以暴露晶体管结构的在步骤604中形成的有源区域。非等离子体蚀刻可以是湿蚀刻或不包括等离子体的干蚀刻。在一些实施例中,可以采用两步蚀刻工艺以在背侧(B)处形成开口。第一蚀刻步骤包含等离子体,并且第二蚀刻步骤是非等离子体蚀刻。如图11中的实例所示,非等离子蚀刻形成具有暴露FET 210的沟道区域的底部的沟槽222。非等离子体蚀刻用于避免沟道区域219的暴露表面处的等离子体诱发的损坏(PID)。在非限制性实例中,沟槽222的高度可以在0.3μm至1μm的范围内,而沟槽222的宽度可以在0.5μm至200μm的范围内(在一些极端情况下)。在一些实施例中,沟槽222的侧壁轮廓是基本笔直的。在非等离子体蚀刻之后,去除光刻胶图案。可以使用无PID的光刻胶去除工艺,诸如剥离和臭氧灰化。因为沟槽222的暴露表面和FET 210的沟道区域的暴露表面容易受到等离子体诱导的损坏(PID),所以一些等离子体灰化工艺不可以用于去除光刻胶图案。

然后,方法600进入步骤616。在步骤616中,沉积界面层。在一个实施例中,界面层是高k材料层。界面层对于生物分子或生物实体结合是兼容的(例如,友好的)。例如,界面层可以包括捕获试剂层,其是能够结合流体样品中的目标分析物的捕获试剂层。在一些实施例中,界面层包括多个层。例如,界面层可以包括介电材料(例如,高k材料)、导电材料和/或用于支撑受体的其它合适的材料。示例性界面材料包括高k介电膜、金属、金属氧化物、电介质和/或其它合适的材料。作为进一步实例,示例性界面层材料包括HfO

如图11中的实例所示,在FET 210的沟槽222的暴露表面和有源区域219的暴露表面上形成界面层224(例如,高k材料层)。此外,在埋氧层206的整个表面上沉积界面层224。

可选地,在步骤618中,沉积界面层而暴露一些接合位点。接合位点用于将微流体沟道覆盖结构接合至背侧(B),这将在下面的步骤626中详细描述。应该指出,是否需要接合位点取决于具体接合要求。类似于步骤616,可以使用诸如PVD(溅射)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD的CMOS工艺形成界面层。在界面层上方形成光刻胶图案以保护界面层的部分,并且不保护接合位点。在随后的蚀刻工艺中去除界面层的未保护的部分。蚀刻工艺可以包括任何已知的蚀刻工艺(包括等离子体蚀刻),因为保护易受PID影响的部分。在蚀刻并且可选地添加钝化剂或阻挡剂之后,在无PID的光刻胶去除工艺中去除光刻胶。

如图12中的实例所示,在FET 210的沟槽222的暴露表面和有源区域219的暴露表面上形成界面层224(例如,高k材料层),而暴露两个接合位点226。换句话说,除了两个接合位点226之外,埋氧层206由界面层224覆盖。应该指出,接合位点的形状可以变化,取决于微流体沟道覆盖结构的形状。

然后,方法600进入步骤620。在步骤620中,图案化并且蚀刻埋氧层、半导体层和第一中介介电层以在背侧(B)处形成开口,以在第一金属层(“M1层”)处暴露导线。在埋氧层和在步骤616或步骤618中沉积的界面层上形成光刻胶图案。类似于步骤614,在一些实施例中,光刻胶图案保护界面层和一些埋氧层免于随后的蚀刻以暴露生物传感器系统封装件的背侧(B)。如图13中的实例所示,在背侧(B)处形成两个开口225a和225b(统称为225)。开口225的数量可以根据需要变化。在图13所示的实例中,开口225a用于沉积参考电极,而开口225b用于随后的引线接合。在另一实例中,仅存在一个用于沉积参考电极的开口225。换句话说,没有形成用于引线接合的开口225。如图13所示,在埋氧层206、半导体层208和第一中介介电层218-1中形成具有在M1层处分别暴露导线214a和214b的底部的开口225a和225b。在一些实施例中,沟槽222的侧壁轮廓是基本笔直的。在蚀刻工艺之后,去除光刻胶图案。

然后,方法600进入步骤622。在步骤622中,在开口中的一个中沉积参考电极。因此,在步骤620中,参考电极连接至在开口中暴露的一个导线。如上所述,参考电极可以由以下材料中的一个制成:Ag/AgCl、Cu/CuSO

然后,方法600进入步骤624。在步骤624中,制造覆盖结构。图6C是示出根据一些实施例的图6B的方法600的步骤624的流程图。步骤624是CMOS兼容工艺流程。在步骤652中,提供覆盖结构衬底。覆盖结构衬底可以是硅衬底,但是可以采用其它合适的材料。如图15中的实例所示,提供硅衬底230。

在步骤654中,图案化并且蚀刻覆盖结构衬底以预限定整体腔区域。整体腔区域对应于微流体沟道。在覆盖结构衬底上形成光刻胶图案。光刻胶图案保护一些覆盖结构衬底免于随后的蚀刻以预限定整体腔区域。在图案化覆盖结构衬底之后,整体腔区域通过蚀刻覆盖结构衬底预限定。蚀刻工艺可以是诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH)的湿蚀刻或包括等离子体和非等离子体蚀刻的干蚀刻。之后,去除光刻胶。如图16中的实例所示,在覆盖结构衬底230的顶面处预限定两个整体腔区域232,并且在该实例中,覆盖结构衬底230已经蚀刻0.1μm至0.5μm。

在步骤656中,在覆盖结构衬底的接合区域上沉积硬掩模。在一些实施例中,覆盖结构衬底的接合区域对应于步骤618中的埋氧层上的接合位点。具体地,覆盖结构衬底的接合区域与埋氧层上的接合位点接口,并且覆盖结构接合至埋氧层(或在埋氧层上沉积和图案化的任何适当的中间接合层),这将在下面的步骤626中详细描述。硬掩模可以保护接合区域免于随后的蚀刻工艺。在一些实施例中,硬掩模可以由氧化物形成。在一些实施例中,硬掩模可以由多晶硅形成。使用诸如CVD等的合适工艺形成硬掩模。在非限制性实例中,硬掩模的厚度在从0.3μm至1μm的范围内。如图17中的实例所示,在覆盖结构衬底230的接合区域234上沉积硬掩模236(例如,氧化物硬掩模)。硬掩模236可以保护接合区域234免于随后的蚀刻工艺。

在步骤658中,图案化和蚀刻整体腔区域的某些区域。在硬掩模和整体腔区域的部分上形成光刻胶图案。光刻胶图案保护硬掩模和整体腔区域的部分免于随后的蚀刻。随后,蚀刻覆盖结构衬底。蚀刻工艺可以是诸如HF/硝酸/乙酸(HNA)或氢氧化四甲基铵(TMAH)的湿蚀刻或包括等离子体和非等离子体蚀刻的干蚀刻。之后,去除光刻胶。如图18中的实例所示,光刻胶图案238位于硬掩模236和整体腔区域232的部分上。蚀刻整体腔区域232的暴露部分以形成深区域239。然后去除光刻胶图案238,并且结构如图19中的实例所示。暴露包括深区域239的整个整体腔区域232,而接合区域234由硬掩模236覆盖。

在步骤660中,毯式蚀刻整个整体腔区域。具体地,均匀地回蚀包括深区域的整个整体腔区域一定深度,以形成覆盖结构的室。覆盖结构的室可以用作流体室(例如,如图4A所示的流体室454)或药物通道(例如,如图4A所示的药物通道455)。另一方面,在毯式蚀刻期间保护由硬掩模覆盖的接合区域。毯式蚀刻工艺可以是任何合适的蚀刻工艺,诸如湿蚀刻或包括等离子体蚀刻和非等离子体蚀刻的干蚀刻。如图20中的实例所示,蚀刻包括深区域239的覆盖结构衬底230的整个整体腔区域232预定蚀刻深度ED。预定蚀刻深度ED对应于覆盖结构228的室244的期望高度。

可选地,在步骤662中,在整体腔区域和硬掩模上沉积高k介电材料层。步骤662是可选的,取决于应用。可以使用诸如PVD(溅射)、CVD、PECVD、APCVD、LPCVD、HDPCVD或ALCVD的CMOS工艺形成高k介电材料层。在一个非限制性实例中,高k介电材料层具有2nm至3nm的厚度。如图21中的实例所示,在整体腔区域232(因此室244)和硬掩模236上沉积高k介电材料层242。高k介电材料层242覆盖室244的底部和侧壁、深区域239的底部和侧壁以及硬掩模236。

可选地,在步骤664中,去除硬掩模顶部上的界面层。在一个实施例中,可以通过喷涂工艺喷涂光刻胶喷涂机以覆盖整体腔区域。当去除硬掩模上的高k介电材料层时,光刻胶喷涂机保护高k介电材料层。可以通过诸如等离子蚀刻的合适的工艺去除硬掩模顶部的界面层。在示例性等离子体蚀刻工艺中,提供包括氧、含氟材料和惰性气体的气体的混合物,并且气体混合物的高速辉光放电流(等离子体)在高k介电材料层处射出(脉冲)。喷涂工艺用于在具有深部件的区域上方涂覆光刻胶。在喷涂工艺中,在结构上沉积光刻胶的细小液滴。喷涂光刻胶液滴的角度允许光刻胶进入深沟槽和侧壁中。

在步骤666中,去除硬掩模。可以通过任何合适的工艺去除硬掩模。在一个实施例中,通过湿蚀刻去除硬掩模。在一些实施例中,湿蚀刻是含氟蚀刻,诸如稀氢氟酸(HF)。在一些实施例中,湿蚀刻是氢氧化氨/过氧化氢蚀刻。湿蚀刻去除硬掩模,而基本不去除或损害高k介电材料层。如图22中的实例所示,在步骤664和步骤666中分别去除硬掩模236和硬掩模236上的可选的高k介电材料层242。暴露接合区域234。用高k介电材料层242覆盖整体腔区域232和深区域239的底部和侧壁。因此,制造了覆盖结构228。

再次参考图6B,方法600进入步骤626,其中覆盖结构接合至生物传感器系统封装件的背侧。具体地,覆盖结构接合至埋氧层。在一些实施例中,埋氧层的接合位点与覆盖结构衬底的接合区域接口。在其它实施例中,在埋氧层上沉积和图案化的中间接合层与覆盖结构衬底的接合区域接口。覆盖结构可以使用融合接合、共熔接合、阳极接合和/或其它合适的接合方法接合至生物传感器系统封装件的背侧。融合接合利用温度和压力以连接半导体材料。在一个非限制性实例中,在室温融合接合工艺中,接合器器件强迫覆盖结构和生物传感器系统封装件的背侧在一起。随后是退火工艺以增大接合强度。在共熔接合中,利用可以产生共熔系统的中间金属层。共熔金属是在具体的组成和温度下不经过两相平衡而直接从固态转变为液态,或者相反从液态转变为固态的合金。因为共熔温度可以远低于两个或多个纯元素的熔化温度,所以共熔接合具有低处理温度、最终组装时产生的低合成应力、高接合强度、高制造良率和良好可靠性的好处。在阳极接合中,玻璃密封至任一硅或金属而不引入中间层。

如图23中的实例所示,覆盖结构228接合至生物传感器系统封装件200的背侧(B)。具体地,覆盖结构228接合至埋氧层206。埋氧层206的接合位点226与覆盖结构衬底230的接合区域234接口。在图23所示的实例中,如以上参考图14所述的导线214b稍后可以用于引线接合。

可选地,如图24中的实例所示,覆盖结构228接合至生物传感器系统封装件200的背侧(B)。与图23所示的实例不同,衬底通孔(TSV)结构而不是引线接合稍后用于将生物传感器系统封装件200与单独芯片连接。将在下面详细描述TSV结构。

对于具有如以上所述的TSV结构的实施例,然后,方法600可选地进入步骤628,其中翻转晶圆。然后,位于生物传感器系统封装件前侧(F)处的载体衬底现在位于顶部上。然后,方法600可选地进入步骤630,其中减薄载体衬底。在一个实例中,通过研磨减薄载体衬底。研磨工艺可以包括旋转支撑内衬有适当研磨材料的生物传感器系统封装件的圆盘。应该指出,也可以采用诸如CMP的其它工艺。如图25所示,已经减薄载体衬底220。根据下面将要讨论的步骤632选择载体衬底的厚度。

然后,方法600可选地进入步骤632,其中穿过载体衬底创建连接至MLI结构的衬底通孔(TSV)结构。TSV用于为生物传感器系统封装件200提供电连接并且用于散热。如图26中的实例所示,穿过载体衬底220创建连接至MLI结构212的TSV结构246。虽然在图26的实例中仅示出了一个TSV结构246,但是可以形成不止一个TSV结构以穿过载体衬底220。TSV结构246包括衬垫246a、扩散阻挡层246b和导电材料246c。在一个实施例中,TSV结构246通过以下操作形成。首先,通过一个或多个蚀刻工艺形成延伸至MLI结构212的导线214的TSV开口。在形成TSV开口之后,在TSV开口的侧壁上形成衬垫246a以用作隔离层,从而使得TSV结构246的导电材料246c与载体衬底220彼此不直接接触。然后,在衬垫246a上和TSV开口的底部上共形形成扩散阻挡层246b。扩散阻挡层246b用于防止稍后形成的导电材料246c迁移至不期望的区域。在形成扩散阻挡层246b之后,导电材料246c用于填充至TSV开口中。然后,通过诸如化学机械抛光(CMP)工艺的平坦化工艺去除位于TSV开口外部上的过量的衬垫246a、扩散阻挡层246b和导电材料246c,但是可以使用任何合适的去除方法。

衬垫246a由诸如氧化物或氮化物的绝缘材料制成。衬垫246a可以通过使用PECVD工艺或其它适用工艺形成。衬垫246a可以是单层或多层。在一些非限制性实例中,衬垫246a具有在从约

然后,方法600进入可选步骤634,其中对于创建TSV结构的情况,翻转晶圆。然后,覆盖结构位于顶部上,而TSV结构位于底部处。然后,方法600进入步骤636,其中在生物传感器系统封装件的背侧(B)处创建微针。图6D是示出根据一些实施例的图6B的方法600的步骤636的流程图。图6E是示出根据一些实施例的图6B的方法600的步骤636的另一流程图。步骤636是CMOS兼容工艺流程。

参考图6D,方法636可选地从步骤672开始,其中减薄覆盖结构衬底。步骤672是可选的,并且取决于微针的高度。通过诸如研磨和CMP的任何合适的工艺减薄覆盖结构衬底。在图27所示的实例中,通过研磨覆盖结构228的顶部减薄覆盖结构衬底230。

然后,方法636进入步骤674。在步骤674中,在微针位置处沉积硬掩模。为了简单起见,下面描述一个微针的情况。微针位置处的硬掩模可以保护微针位置免于随后的蚀刻工艺。在一些实施例中,硬掩模可以由氧化物形成。在一些实施例中,硬掩模可以由多晶硅形成。使用诸如CVD等的合适工艺形成硬掩模。如图28中的实例所示,在微针位置处的覆盖结构衬底230上沉积硬掩模237(例如,氧化物硬掩模)。硬掩模237可以保护微针位置免于随后的蚀刻工艺。

在一个实施例中,然后,方法636进入步骤676和步骤678。在步骤676中,使用各向同性蚀刻和各向异性蚀刻以交替方式(即,多路复用)蚀刻覆盖结构衬底。换句话说,蚀刻工艺在各向同性蚀刻和各向异性蚀刻之间切换。各向同性蚀刻是在多个方向上去除材料的蚀刻工艺,并且因此,蚀刻方向的任何水平分量可能导致图案化区域的底切。另一方面,各向异性蚀刻是旨在优先去除具体方向上的材料以获得复杂且通常为平坦形状的蚀刻工艺。在一个实施例中,这里使用的各向异性蚀刻是各向异性深反应离子蚀刻(DRIE),而这里使用的各向同性蚀刻是六氟化硫(SF

然后,方法636进入步骤678,其中去除硬掩模。可以通过任何合适的工艺去除硬掩模。在一个实施例中,通过湿蚀刻去除硬掩模。在一些实施例中,湿蚀刻是含氟蚀刻,诸如稀氢氟酸(HF)。在一些实施例中,湿蚀刻是氢氧化氨/过氧化氢蚀刻。如图30中的实例所示,在步骤678中去除图29所示的硬掩模237。因此,暴露微针241的顶点。因此,制造了微针241。

可选地,在另一实施例中,方法636可以进入步骤680、步骤682和步骤684。在步骤680中,使用各向异性蚀刻来蚀刻覆盖结构衬底预定深度。预定深度近似于微针的高度。在一个实施例中,这里使用的各向异性蚀刻是各向异性深反应离子蚀刻(DRIE)。在步骤682中,去除硬掩模。可以通过任何合适的工艺去除硬掩模。在一个实施例中,通过湿蚀刻去除硬掩模。在一些实施例中,湿蚀刻是含氟蚀刻,诸如稀氢氟酸(HF)。在一些实施例中,湿蚀刻是氢氧化氨/过氧化氢蚀刻。然后在步骤684中,使用各向同性蚀刻来蚀刻覆盖结构衬底,以形成微针的顶点。在一些实施例中,这里使用的各向同性蚀刻是六氟化硫(SF

在图31所示的实例中,在步骤680之后,除了对应于微针位置的深区域239之外,打开深区域239,并且因此室244可以连接至外部。在图32所示的实例中,在步骤682和步骤684之后,在步骤682中去除图31所示的硬掩模237。因此,暴露微针241的顶部。在步骤684之后,进一步使微针241的顶部变尖以形成顶点。因此,制造了微针241。

参考图6E,图6E所示的方法636适用于相对长的微针。在某些应用中可能需要相对长的微针。如图6E所示,方法636从步骤691开始,其中减薄覆盖结构衬底以打开深区域。通过诸如研磨和CMP的任何合适的工艺减薄覆盖结构衬底。在图33所示的实例中,通过研磨覆盖结构228的顶部减薄覆盖结构衬底230。在步骤691之后,打开深区域239,并且因此室244可以连接至外部。

然后,方法636进入步骤692,其中制造接合至覆盖结构的第二覆盖结构。在图34所示的实例中,制造了第二覆盖结构228’。第二覆盖结构228’的制造工艺类似于图6C所示的方法624,并且因此不再详细描述。第二覆盖结构228’具有形成在覆盖结构衬底230’中的深区域239’。高k介电材料242’覆盖深区域239’的顶面和侧壁。在图35所示的实例中,第二覆盖结构228’接合至覆盖结构228。如上所述,第二覆盖结构228’可以使用融合接合、共熔接合、阳极接合和/或其它合适的接合方法接合至覆盖结构228。在接合工艺期间可以使用对准标记用于对准。如图35所示,深区域239和深区域239’对准并且形成相对长的针。

然后,方法636进入步骤693,其中在微针位置处沉积硬掩模。在一个实施例中,方法636进入步骤694和步骤695。可选地,在另一实施例中,方法636可以进入步骤696、步骤697和步骤698。步骤693-698分别类似于图6D的步骤674-684,因此不再详细描述。在实施方法636之后,制造了相对长的微针。

返回参考图6B,在步骤636中的生物传感器系统封装件的背侧创建微针之后,然后方法600进入步骤638。在步骤638中,切割生物传感器系统封装件200。在图36所示的实例中,通过切割工具或锯在图36所示的虚线处将生物传感器系统封装件200切割成与其它相邻组件分隔开。在切割工艺中可以采用对准标记。

然后,方法600进入步骤640,其中单独芯片通过引线接合或TSV结构连接至生物传感器系统封装件。单独芯片可以是用作生物传感器系统的部分的任何芯片。在一个实施例中,单独芯片是RAM芯片。在一个实施例中,单独芯片是数据处理芯片。在一个实施例中,单独芯片是RAM和数据处理芯片。

如图37所示,生物传感器系统封装件200通过引线接合连接至单独芯片250。引线接合是制成互连的方法,并且具有成本效益和灵活性。在该实例中,金属(例如,Al、Cu、Ag或Au)引线251连接单独芯片250和导线214b。因此,使用方法600制造生物传感器系统封装件200。

可选地,如图38所示,生物传感器系统封装件200通过在以上的步骤632中形成的TSV结构连接至单独芯片250。单独芯片可以通过任何合适的工艺接合至TSV结构。与上述引线接合相比较,通过TSV结构的连接是更紧凑的解决方案,并且具有更少的电阻、电容和电感,这可以实现具有更少的噪声、失真和功耗的更快的芯片至芯片数据发送。在一个实施例中,单独芯片通过焊料凸块接合而接合至TSV结构。焊料凸块是接合至半导体器件的接触区域或焊盘的小焊料球(焊球)。在一个实例中,焊料凸块接合包括以下操作:在TSV结构上放置焊料凸块;翻转晶圆;将焊料凸块与单独芯片的接触焊盘对准;以及在炉中回流焊料凸块,以在TSV结构和单独芯片之间建立接合。在其它实施例中,单独芯片可以通过引线接合而接合至TSV结构。如图38的实例中所示,单独芯片250通过焊料凸块接合(使用焊料凸块248)而接合至TSV结构246。因此,使用方法600制造生物传感器系统封装件200。

根据本发明的实施例包括生物传感器系统封装件。生物传感器系统封装件包括:晶体管结构,位于具有前侧和背侧的半导体层中,晶体管结构包括沟道区域;埋氧(BOX)层,位于半导体层的背侧上,其中,埋氧层具有位于沟道区域的背侧上的开口,并且界面层覆盖沟道区域上方的背侧;多层互连(MLI)结构,位于半导体层的前侧上,晶体管结构电连接至MLI结构;以及覆盖结构,附接至埋氧层,覆盖结构包括微针。

在一些实施例中,所述覆盖结构还包括:覆盖结构衬底,具有配置为容纳要测试的流体样品的室,并且其中,所述微针与所述室连接以用于流体样品的流入和流出。在一些实施例中,所述覆盖结构还包括:高k介电材料层,覆盖所述室的底部和侧壁。在一些实施例中,所述覆盖结构衬底具有与所述埋氧层的接合位点接口的接合区域。在一些实施例中,生物传感器系统封装件还包括:温度传感器,形成在所述半导体层中。在一些实施例中,生物传感器系统封装件还包括:参考电极,连接至所述多层互连结构并且配置为提供参考电势。在一些实施例中,所述多层互连结构包括:多个中介介电层;多个导线,每个导线设置在所述多个中介介电层中的一个中;以及多个导电垂直互连通路(VIA)结构,连接所述多个导线。在一些实施例中,生物传感器系统封装件还包括:载体衬底,位于所述多层互连结构上;以及衬底通孔(TSV)结构,延伸穿过所述载体衬底并且配置为提供所述多层互连结构和第一单独管芯之间的电连接。在一些实施例中,所述衬底通孔结构包括:导电材料;衬垫,将所述导电材料与所述载体衬底隔离;以及扩散阻挡层,位于所述导电材料和所述衬垫之间。在一些实施例中,生物传感器系统封装件还包括:所述第一单独管芯,其中,所述第一单独管芯电连接至所述衬底通孔结构,并且配置为处理由所述晶体管结构收集的数据。在一些实施例中,生物传感器系统封装件还包括:引线接合开口,穿过所述埋氧层、所述半导体层和所述多层互连结构,并且其中,在所述引线接合开口中暴露所述多层互连结构的第一金属(M1)层中的第一导线。在一些实施例中,所述第一导线通过引线接合电连接至第二单独管芯。在一些实施例中,所述界面层是高k材料层。在一些实施例中,所述界面层包括能够结合所述流体样品中的目标分析物的捕获试剂层。在一些实施例中,生物传感器系统封装件还包括:电阻加热器,包括所述多层互连结构的第一金属层中的第二导线。

进一步的实施例包括生物传感器系统封装件。生物传感器系统封装件包括:生物传感器结构,位于具有前侧和背侧的半导体层中,生物传感器结构包括沟道区域和覆盖沟道区域上方的背侧的界面层;埋氧(BOX)层,位于半导体层的背侧上,其中,埋氧层具有位于沟道区域的背侧上的开口,并且在开口中暴露界面层;多层互连(MLI)结构,位于半导体层的前侧上,生物传感器结构电连接至MLI结构;参考电极,连接至MLI结构并且配置为提供参考电势;以及覆盖结构,附接至埋氧层,覆盖结构包括微针。

在一些实施例中,生物传感器系统封装件还包括:温度传感器,形成在所述半导体层中;以及电阻加热器,包括位于所述多层互连结构的第一金属(M1)层中的导线。

进一步实施例包括制造生物传感器系统封装件的方法。方法包括:提供衬底,衬底包括具有前侧和背侧的半导体层、位于背侧处的埋氧(BOX)层和位于背侧处的块状硅层;在衬底上形成晶体管结构,其中,晶体管结构的沟道区域位于半导体层中;在半导体层的前侧上形成多层互连(MLI)结构,其中,MLI结构电连接至晶体管结构;将载体衬底附接至MLI结构;去除块状硅层;蚀刻埋氧层以在沟道区域上方的背侧处形成开口;在沟道区域上方的背侧上沉积界面层;使用互补金属氧化物半导体(CMOS)兼容工艺流程制造覆盖结构;将覆盖结构接合至BOX层;以及在覆盖结构上创建微针。

在一些实施例中,在所述覆盖结构上创建所述微针包括:多路复用各向同性蚀刻工艺和各向异性蚀刻工艺。在一些实施例中,在所述覆盖结构上创建所述微针包括:沉积硬掩模;使用各向异性蚀刻工艺蚀刻所述覆盖结构预定深度;去除所述硬掩模;以及使用各向同性蚀刻工艺蚀刻所述覆盖结构。

上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

相关技术
  • 生物传感器系统封装件及其制造方法
  • 荧光体片材、使用其的LED芯片及LED封装件、LED封装件的制造方法、以及包含LED封装件的发光装置、背光模组及显示器
技术分类

06120113226915